E FUN Redefines Windows 2-in-1 Tablet Category with New 10.1" Nextbook
Versatile and Portable Tablet Includes a Free Subscription to Office 365 Personal with 1TB OneDrive Cloud Storage For One Year; Detachable POGO Keyboard Included
WEST COVINA, Calif., Oct. 20, 2014 /PRNewswire/ -- E FUN, the fifth largest tablet supplier in the U.S.*, is redefining the Windows 2-in-1 tablet category with its newest Nextbook, the first Windows 10.1 inch tablet with an MSRP below $200 on the market. Developed closely with Microsoft Corp. and Intel, the portable Nextbook Windows tablet brings the latest technology to families at an affordable price. With a detachable POGO keyboard, the 2-in-1 tablet is perfect for work and play and is an incredible value with the free one year subscription for Office 365 Personal that includes 1TB of OneDrive cloud storage. It will be available at retail for $179 mid-November at Walmart stores nationwide and Walmart.com (preorders beginning Oct. 23) and in December at SamsClub.com.
"We are excited to expand our product portfolio with our first Windows tablet," said Jason Liszewski, managing director and vice president of sales at E FUN. "Our collaboration with Microsoft and Intel was the next logical step in the evolution of the company and brand. It has been a pleasure working with them on the development of a tablet that offers tremendous value to professionals, students and casual users."
Whether it's working on an Excel spreadsheet, emailing a report, video chatting with a friend, or watching the latest movie, the new Nextbook Windows 2-in-1 tablet can handle any task and get more done while at home, at work or on the go. It features the following:
-- 10.1" 1280 x 800 IPS screen with capacitive multi-touch and expanded
viewing angles
-- Detachable keyboard with POGO connection for superior portability and
versatility
-- Windows 8.1 Operating System
-- Quad-Core Intel® Atom(TM) Processor (2M cache, up to 1.83 GHz); 1GB
DDR3L system memory
-- 32GB internal storage; expandable via microSD card up to 64GB
-- 6000mAh rechargeable Li-ion battery
-- Bluetooth 4.0 and WiFi 802.11 b/g/n connectivity options
-- Front webcam and 2 megapixel back camera with microphone
-- Micro USB port, mini HDMI port, audio jack
-- 3-axis g-sensor for apps and gaming
-- Includes one year subscription to Office 365 Personal with 1TB of
OneDrive cloud storage free for one year and 60 Skype world minutes per
month
-- Preloaded software including Nook for Windows 8
"Intel is enabling our customers including E FUN to design high quality, affordable tablets with lightning fast performance and long battery life that the Intel Atom processor provides. We are proud to support E FUN in extending their successful product line to Windows tablets for consumers in the United States," said Erik Reid, vice president of Intel Mobile and Communications Group and general manager of the Tablet Business Unit.
"Microsoft's engagement with new partners like E FUN enables a broader portfolio of devices across more price points and markets," said Jordan Chrysafidis, VP of U.S. OEM Division, Microsoft. "Windows devices, like the Nextbook 10.1 inch, provide flexibility that customers want and enable new computing scenarios at work, play or on the go at a very competitive price."
"Within four short years, E FUN went from launching in North America to being the fifth largest tablet supplier in the U.S. in 2013," said Jean Philippe Bouchard, research director, tablets at IDC. "We anticipate both Windows platforms and larger tablets to gain share going forward so this product is well positioned to capture this growth."
For more information on the new Nextbook Windows 2-in-1 tablet, click here.
* Full year 2013 tablet unit market share as per IDC Worldwide Quarterly Tablet Tracker Q2 2014
About E FUN
E FUN, a designer and marketer of mobile lifestyle products, entered the North American market in late 2010. Since then, E FUN has grown to be one of the leading tablet brands at retail, achieving top five market share by volume in 2013. Its ongoing product innovation and partnerships set E FUN apart from competitors and provide customers with unique out of the box experiences. Its product offerings include Android and Windows tablets, home automation devices, and wearables. E FUN is headquartered in West Covina, California.
To view the original version on PR Newswire, visit:http://www.prnewswire.com/news-releases/e-fun-redefines-windows-2-in-1-tablet-category-with-new-101-nextbook-561067446.html
Essence's Innovative Version of its Award-winning WeR@Home(TM) Creates a 360 Degrees Home Management Experience
HERZLIYA, Israel, October 20, 2014 /PRNewswire/ --
Enhanced interface and automation deliver Smart Living from any screen
combined with service provider tools to enhance profitability
Essence [http://www.essence-grp.com ], the leading provider of M2M, cloud-based
connected-living solutions, announces the launch of the newest version of its smart living
solution: WeR@HomeTM 4, the complete smart home management system featuring the simplest,
most comprehensive and integrated user experience available.
"Service providers worldwide are adopting WeR@HomeTM because we provide a unique,
easy-to-use smart-home solution that provides value from day one," said Haim Amir, PhD,
Chairman and CEO of Essence. "All interfaces are intuitive to the point where users can
set up and configure the system to their personal home routines within minutes."
WeR@HomeTM 4 enhances the customer experience via a brand new mobile application with
smart rules enabling end users to manage and "be in touch" with their homes throughout the
day, such as scheduling sequential, pre-defined events or remotely managing ad-hoc events.
"Thanks to our experience with our increasing service provider partner base such as
G4S,Protect Australia, Elkotek, and others, we are introducing with WeR@HomeTM 4 Smart
management tools, allowing service providers to easily customize and brand the
application, integrate it into their core services, and offer it as self-monitoring or
professionally monitored in a plug 'n' play mode" says Michal Aharonov, Vice President,
Head of Sales and Services.
Based on Essence One-PlayTMapproach, WeR@HomeTM 4 includes home security and safety at
the core and is readily and easily expandable with third-party services and devices.
Z-wave compatibility and cloud-based integration allow service providers to expand their
smart home offerings with external applications and devices, such as lighting controls,
plugs, and thermostats. WeR@HomeTM recently won the European Consumers Choice Award for
its core security service, earning the highest score in the home category.
"Essence technology creates win-win situations," concluded Dr. Amir. "Providers can
quickly add services with minimal risk, while giving their customers the peace of mind
that comes from such a high degree of home management."
About Essence
Essence is a global M2M provider of scalable, end-to-end connected-living solutions for
security, communication, and healthcare service providers. Over the past 20 years, Essence
has built an impressive installed base, with more than 10 million products deployed and
used by Tier-1 service providers worldwide. Essence is committed to developing and
supporting solutions that both enhance partners' businesses and enable people to live
fuller and better lives.
Media Contact
Amy Kenigsberg
K2 Global Communications
amy@k2-gc.com http://www.k2-gc.com
tel: +972-9-794-1681 (+2 GMT)
mobile: +972-524-761-341
U.S.: +1-913-440-4072 (+7 ET)
RadioShack's Fix It Here! Mobile Device Repair Service Now Available in 26 Chicago-Area Stores
Same-day Service Available in More Than 750 Locations Nationwide by End of Year
FORT WORTH, Texas, Oct. 20, 2014 /PRNewswire/ -- RadioShack's Fix It Here! same-day mobile repair service - the first of its kind from a leading national retailer - is now available in 26 stores in the Chicago area. By visiting their neighborhood RadioShack store, smartphone and tablet owners can conveniently and cost effectively have common issues such as cracked screens, broken buttons and damaged charging ports repaired.
Fix It Here offers, in most cases, same-day repairs - typically in less than two hours - for the most popular smartphones and tablets on the market. Repairs for these devices start at $39.99 and include a 90-day warranty on parts and labor.
"As a trusted national brand, we wanted to be the first to provide on-the-floor, same-day repairs to customers no matter where they purchased their device," said Paul Rutenis, chief merchandising officer at RadioShack. "RadioShack has proudly served customers in Chicago since 1970 and we're happy to now have 26 stores in the Chicago area where we can provide the expertise and service of our skilled Fix It Here technicians."
RadioShack's Fix It Here certified technicians have completed at least 40 hours of highly specialized, hands-on training to repair common issues such as the following:
-- Cracked screens
-- Water damage
-- Broken charging ports
-- Broken buttons
-- Camera issues
-- Audio issues
-- Battery replacement
In addition to specially trained associates, RadioShack's retail footprint makes it the perfect place to get your phone fixed on the go and grab a case or screen protector to prevent future damage. If repair isn't an option - or if it's time to upgrade - RadioShack associates can match customers with the ideal device and service plan.
Chicago-area customers can locate a participating repair location with the Fix It Here finder, or look for the Fix It Here sign in the window of a local store. Check here for a list of supported devices.
Fix It Here is available in more than 550 RadioShack stores in key markets across the nation. RadioShack plans to rollout Fix It Here to 750 locations by the end of the year.
About RadioShack Corporation
RadioShack (NYSE: RSH) is a leading retailer focused on connecting customers with personalized solutions and discovering what's possible through the latest in consumer technology. The company's updated product assortment incorporates national brands, industry-leading private brand products, and in-demand mobile devices from a wide selection of wireless carriers. Customers can shop top brands in headphones and speakers, wearable technology, smart toys and DIY supplies, connected home, power accessories and home entertainment at http://www.radioshack.com or in store. RadioShack's global retail network includes more than 4,400 company-operated stores in the United States and Mexico and more than 1,200 dealer stores in 25 countries. RadioShack employs approximately 27,000 knowledgeable associates globally to help customers find their technology solution. For more information on RadioShack Corporation, please visit http://www.radioshackcorporation.com. Find RadioShack on Facebook, Twitter, Instagram, and YouTube. RadioShack® is a registered trademark licensed by RadioShack Corporation.
News Media Contact: Media Relations, +1-817-415-3300, Media.Relations@RadioShack.com
To view the original version on PR Newswire, visit:http://www.prnewswire.com/news-releases/radioshacks-fix-it-here-mobile-device-repair-service-now-available-in-26-chicago-area-stores-317680797.html
Newest Whirlpool® Laundry Pair Asks the Right Questions
Cabrio® Top Load Features 'What' and 'How' Intuitive Touch Display
BENTON HARBOR, Mich., Oct. 20, 2014 /PRNewswire/ -- Washing, folding, separating - these steps in the laundry process can feel never-ending and monotonous. Recently, Whirlpool® brand launched its largest-ever advertising campaign, Every day, care(TM), to prove that behind every chore is an act of love. Inspired by how families give and get the care they need, the new Cabrio® Top Load Laundry pair features the latest technologies and innovations that fit seamlessly into their lives. Starting on October 20, Whirlpool is introducing its Cabrio® laundry pair on national TV with a spot called What and How, showcasing how families care for each other using simple language.
Doing laundry can be daunting enough without trying to figure out the dozens of cycles on a typical dial. That's why Whirlpool brand developed the Cabrio® Top Load Laundry pair, using cues from the language families use every day. With an Intuitive Touch Display that simply asks "what to wash" and "how to wash," this pair makes washing and drying decisions more intuitive by taking full advantage of the latest technology.
"Whirlpool is dedicated to creating family-centric innovations inspired by how families care for each other. The intuitive touch display on our Cabrio® Top Load Laundry pair is a meaningful change for families because consumers were missing out on the benefits of the laundry cycles. Most people were washing clothes solely using the 'normal' cycle because they didn't understand the terminology. We've now made it easier for everyone to get the most out of their machine," said Jon Hall, senior brand manager, Whirlpool Corporation.
Along with an intuitive touch display, the new pair features Adaptive Wash technology with Active Bloom(TM) wash action to better care for clothing. This technology senses each load type and then adapts water levels, guiding clothes through the cycle in a blooming motion. The wash action thoroughly washes clothes against each other instead of against a traditional agitator, to deliver improved cleaning and gentler fabric care.
The Cabrio® Top Load Laundry pair also offers the following care components:
-- An industry-exclusive ColorLast(TM) option gives cycles a boost to keep
colors vibrant longer.*
-- In the dryer, Advance Moisture Sensing uses three built-in sensors to
monitor and adapt each cycle so every load comes out perfectly dry.
-- The SingleSwipe(TM) feature takes the hassle out of cleaning the lint
screen with a new design that removes lint in one swipe.
-- The Precision Dispense and Active Spray technology virtually eliminates
the need to pretreat clothes. It's gentle on clothes while still
providing cleaning performance that helps fight tough stains.
See the new Cabrio® laundry pair in action on whirlpool.com. The pair (WTW8500D and WE(G)D8500D) is now available online and in-store November 2014 at retailers nationwide in White and Chrome Shadow, with a starting MSRP of $1,199 each.
For more information, visit whirlpool.com/everydaycare or join the #EveryDayCare conversation at facebook.com/WhirlpoolUSA.
*Compared to our pre-2009 agitator washer.
About Whirlpool Brand
For more than one hundred years, Whirlpool brand has been inspired by how people care for their families. Whirlpool is designing home appliances that are focused on improving how families give and get the care they need with the latest technologies and innovations that make their experience effortless, intuitive, and easy - whether that means most flexible refrigerator storage for all types of family needs, induction technology for efficient cooking and easier cleaning or laundry pairs that sense and adapt to clothes with the latest in connected technologies. Whirlpool brand is part of Whirlpool Corporation (NYSE: WHR), the world's leading manufacturer and marketer of major home appliances. Whirlpool Corporation is also one of Habitat for Humanity's largest corporate partners for 15 years, donating a refrigerator and range to every new Habitat for Humanity home built in North America. For more information on Whirlpool brand, please visit whirlpool.com/everydaycare or find us on Facebook at facebook.com/whirlpoolusa or Twitter at @WhirlpoolUSA. Additional information about the company can be found at whirlpoolcorp.com.
To view the original version on PR Newswire, visit:http://www.prnewswire.com/news-releases/newest-whirlpool-laundry-pair-asks-the-right-questions-363641362.html
CONTACT: Alexandra Neff, Ketchum for Whirlpool Brand, 312-228-6878, Alexandra.neff@ketchum.com, Sam Smitala, Ketchum for Whirlpool Brand, 312-228-6883, samantha.smitala@ketchum.com
Huntkey Show Successfully Held at Global Sources Electronics & Components Fair
SHENZHEN, China, Oct. 20, 2014 /PRNewswire/ -- As one of the world's top electronics fairs, the Global Sources Electronics & Components Fair, had a perfect ending on October 14th. It was reported that over thousands of exhibitors attended this show. Huntkey Enterprise Group, a global professional power supply and power accessory manufacturer, has successfully shown its line-up of products at the fair, including various kinds of consumer electronics, such as adapters, chargers, power strips, power banks and a new series of Huntkey's products: naked-eye 3D PIO, mini-ITX PC platform and mini PC.
The middle show stand displayed a large visual design of Huntkey's latest outstanding products, the unique Parts In One PC, with a high-quality Full HD widescreen made by ChiMei and PIO allowing the use of Micro ATX motherboards for All-in-one PCs. The system is fully compatible with Intel processor cooling systems and is equipped with an additional ultra-thin card-holder for additional cooling equipment to improve stability. Remarkably, the naked-eye 3D technology is applied to Huntkey's PIO for the first time.
With continuous efforts on developing practical power supplies and power strips for general users, Huntkey is also dedicated to desktop and mobile phone peripherals to better cover the consumer electronics market. The Mini-ITX Shield and the Mini PC integrate a series of components into mini boxes, which slimmed the traditional chassis.
The latest Hand-dynamoelectric power bank is equipped with a highly efficient power generating module which allows you to charge your mobile phones manually through a hand pole while outdoors. Besides, this kind of power bank is supported with a Mini USB for fast charging.
For Apple devices with the new 8-pin connector, Huntkey introduced a wide range of Lightning to USB cables for charging demand and data transfer. There are different color choices - black, white, green, blue and pink, and is fully compatible with the iPhone5, iPod Touch 5, iPod Nano 7, iPad 4, iPad Mini and other digital devices.
The TV Remote Control System Wall attracted many customers at the fair. This part gave visitors a general overview of Huntkey's consumer products, including the the TV power strip together with the notebook adapter, car charger and power bank.
Huntkey Enterprise Group, founded in 1995, is a professional provider that specializes in the development, design, manufacturing and marketing of power supplies. Huntkey's products include power supplies (1W~250KW), power systems, computer cases, universal notebook adapters, power banks, chargers, power supply converters, power strips, LED lights, and more. The industrial parks of Huntkey in Shenzhen, Heyuan and Hefei, which cover a total area of over 750,000 square meters, are now the largest IT manufacturing base in mainland China. Huntkey, with over 8,000 employees has set up its branch companies in Hong Kong and Japan. Its clients are found all over the world in more than 50 countries and regions, including Lenovo, DELL, Bestbuy, Exper, Vestel, Positivo, Durama, Unicoba, DSG, HCL, Carrefour, FPT, Siragon, Olidata, and others.For more information about Huntkey, please visit http://dealer.huntkey.com/en/.
To view the original version on PR Newswire, visit:http://www.prnewswire.com/news-releases/huntkey-show-successfully-held-at-global-sources-electronics--components-fair-767304830.html
Global Unichip Corporation Uses Cadence Encounter Digital Implementation System to Complete Its First Production Design on TSMC 16FF+ Process
Cadence Digital Solution Enabled GUC to Improve System Performance by 2X and Deliver 180 Million Gate SoC Design
SAN JOSE, Calif., Oct. 20, 2014 /PRNewswire/ -- Cadence Design Systems, Inc. (NASDAQ: CDNS), a leader in global electronic design innovation, and Global Unichip Corporation (GUC), the Flexible ASIC Leader(TM), today announced that GUC used the Cadence® Encounter® Digital Implementation System to tape out its first production high performance computation ASIC design on TSMC's 16nm FinFET Plus (16FF+) process. The combination of the Cadence digital solution with the 16FF+ process provided GUC with a 2X system performance improvement, an 18 percent frequency increase, and a 28% power reduction over their previous design.
GUC utilized the Encounter Digital Implementation System to address the implementation challenges that arise at 16FF+, including increased double-patterning and FinFET design rule checking (DRC), timing and power variability, and throughput requirements. In addition, the Encounter system provided:
-- A correct-by-construction, comprehensive double-patterning and
FinFET-enabled flow that spans floorplanning, placement, and routing to
electrical and physical signoff
-- Seamless integration with Cadence Litho Physical Analyzer and Cadence
CMP Predictor to enable design-for-manufacturing (DFM)
-- Multi-threaded GigaOpt and NanoRoute technologies that employed massive
parallelization to effectively handle the increase in DRC rules and
design size
-- The GigaOpt advanced on-chip variation (AOCV) and route-driven
technologies that improved the performance and power of the SoC
"As a leader in ASIC designs, we need to deliver very complex designs to our customers in a timely manner, and the Cadence tools and team have helped us do this," said Jim Lai, president of Global Unichip Corporation. "We chose to work with Cadence on the development of our design because of their extensive experience with TSMC at advanced nodes. Before we completed our first full production tapeout, we also taped out several 16nm test chips using the Cadence tool set and experienced excellent silicon results. Thanks to the collaboration between the Cadence and GUC teams, we met an aggressive three-month design-to-tapeout schedule for our 180M gate production design."
"Encounter Digital Implementation System is designed to provide the most effective methodology for 100M+-instance high performance and power-efficient designs," said Anirudh Devgan, senior vice president, Digital and Signoff Group, Cadence. "The Encounter system has been validated by TSMC on the 16FF+ process, which gives GUC and other customers the confidence that they can achieve the fastest path to design closure at advanced nodes."
About Cadence
Cadence enables global electronic design innovation and plays an essential role in the creation of today's integrated circuits and electronics. Customers use Cadence software, hardware, IP, and services to design and verify advanced semiconductors, consumer electronics, networking and telecommunications equipment, and computer systems. The company is headquartered in San Jose, Calif., with sales offices, design centers, and research facilities around the world to serve the global electronics industry. More information about the company, its products, and services is available here.
About GUC
GLOBAL UNICHIP CORP. (GUC) is the Flexible ASIC Leader(TM) that provides the semiconductor industry with leading IC implementation and SoC manufacturing services. Based in Hsinchu Taiwan, GUC has developed a global reputation with a presence in China, Europe, Japan, Korea and North America. GUC is publicly traded on the Taiwan Stock Exchange under the symbol 3443. For more information, visit http://www.guc-asic.com.
Raritan Makes Its New Intelligent Rack Power Distribution Unit More Energy Efficient to Help Data Centers Reduce Costs
-- Third-generation product features low-energy-consuming latching relays and three USB ports; Wins energy award --
ORLANDO, Fla., Oct. 20, 2014 /PRNewswire/ -- Raritan, a leading provider of data center infrastructure management (DCIM) and rack power distribution solutions, today introduced its next-generation intelligent rack PDU (iPDU) -- the PX3 -- with energy-saving features and other enhancements to help data centers measure and monitor energy, cooling and overall health. The announcement was made at the AFCOM Data Center World conference.
Advancing its charter to help data centers save energy, Raritan's new iPDU switched models use patent-pending latching (bistable) relays that do not require power to stay in their designated on or off states. A 100-cabinet data center, for example, can save more than $6,000 per year in energy and cooling costs using the PX3. In the event of a power interruption, the PX3 can be pre-configured to have relays stay latched in their last state or go through a custom power-up sequence. Raritan also added a third USB port to all PX3 models, providing one USB-B and two USB-A ports.
The new rack PDU is the third generation of the industry's first truly intelligent PDU. Recognizing that a rack PDU has one of the best monitoring vantage points in a data center, Raritan was the first to add a user-programmable computer to a rack PDU to create a platform for smarter racks and intelligent data centers.
The iPDU makes racks smarter by providing real-time, outlet-level energy monitoring, environmental monitoring with plug-and-play sensors, and other important information. From the PDU's LCD display or a Web browser, data center operators can monitor energy, temperature, humidity and the status of PDUs, lines, circuit breakers and individual outlets. Data gathered by the PX3 -- which measures real-time kWh energy consumption with 1% billing-grade accuracy -- can be further analyzed and monitored using Raritan's DCIM Power IQ® energy management software.
Three-Generations of Success
"Raritan iPDUs can be found in data centers around the globe gathering information to help make data centers more efficient and more reliable, while operating at lower costs," said David Wood, Director of Power Management Solutions at Raritan. "Our introduction today of the PX3 with latched relays is another example of how Raritan's iPDUs help lower operational costs."
Raritan's Power Business -- which has four patents and two pending patents -- has introduced a wide range of industry firsts and has received numerous awards. Most recently, the PX3 won the German Data Center Award for Energy Technology. The award, presented in Frankfurt, recognizes products and projects that enhance the energy efficiency in the data center.
Raritan's iPDU is the first outfitted with: a user-programmable computer; a sensor port for adding temperature, humidity and other sensors; and USB ports to support Wi-Fi networking, PDU-to-PDU cascading, and security webcams. It also is the first iPDU available in multiple colors to help in identifying and locating power distribution pathways and in differentiating between multiple PDUs in a rack. The PX was also awarded the first IEC 62368-1 certification by Underwriters Laboratories.
Availability
Starting today the PX3 iPDU is available worldwide. To meet the needs of diverse IT environments, the portfolio includes single-phase, three-phase, high power (400V), outlet-metered, outlet-switched, and unswitched rack PDUs and inline power meters. The PX3 is network ready and seamlessly fits into existing data center infrastructures.
About Raritan
Raritan is a proven innovator of power management solutions, DCIM software, and KVM-over-IP switches for data centers of all sizes. Based in Somerset, NJ, Raritan has a global presence across 38 offices, serving 76 countries and 50,000 customer locations worldwide. Raritan's award-winning hardware and software solutions increase energy efficiency, improve reliability and raise productivity. Visit us at Raritan.com, RaritanDCIM.com, or follow us on the Raritan blog.
Raritan is an active member of the Green Grid, Climate Savers Computing Initiative, and the Leadership in Energy and Environmental Design associations. The EPA has recognized Raritan for its contribution to the agency's data center initiative.
All marks are the property of their respective owners.
GoPole® Debuts Redesigned Reach And Evo GoPro® Camera Accessories
New Designs Offer Increased Portability For Traveling And Capturing The Best GoPro Camera Footage
POMPTON PLAINS, N.J., Oct. 20, 2014 /PRNewswire/ -- GoPole (gopole.com), the original third party GoPro® camera accessory brand, preferred by the world's top athletes, continues to lead the accessory world and has revamped its popular product line. The Reach and Evo are the latest in its series of new releases this fall.
The new Reach and Evo couldn't have been released at a better time with the announcement of the new GoPro HERO4 cameras and the holiday season quickly approaching. "The Reach has always been our number-one selling product, so we are excited to launch the latest, new and improved version alongside our updated transparent, floating Evo pole," commented GoPole VP of Sales Russell Van Zile. "Our focus has really been on providing GoPole users with much more portable, easy-to-use products to help make it more convenient to pack, travel, and capture exceptional footage from any angle with their GoPro cameras."
Reach ($54.99 MSRP)
The newly designed Reach is now more portable than ever. The four-stage telescoping pole extends from 14 inches to 40 inches. The ¼ turn integrated extension locks allow the pole to be locked quickly and easily. Compatible with all GoPro cameras, Reach gets users closer to the action and allows them to capture photos and videos from a wide array of unique angles. Additional features include a Wi-Fi remote clip, Hi-Torque thumbscrew, and removable wrist-strap attachment.
Evo ($49.99 MSRP)
The new Evo offers users a two-stage telescoping pole, designed for use in water. Extending from 14 inches to 24 inches, the new Evo is much more portable than it's fixed length predecessor. With its unique transparent design, this new GoPro extension pole literally disappears in images and footage captured with a GoPro camera. The watertight twist lock allows Evo to float when submerged in water. Additional features include a Wi-Fi remote clip, Hi-Torque thumbscrew, and a removable wrist-strap attachment.
All GoPole products are available now and can be purchased worldwide online or at select retail stores including Best Buy, Target, Fry's Electronics, B&H and GoPole.com.
About GoPole
GoPole is the original and leading GoPro accessory brand, offering users unique and versatile ways to expand the use of their GoPro cameras. Founded in 2010, GoPole is the number one selling third-party GoPro accessory brand worldwide and preferred by the world's top athletes and action sports filmmakers. Visit GoPole at http://www.gopole.com; follow GoPole on Facebook.com/gopolemounts, Twitter.com/gopolemounts, Instagram.com/gopole, Youtube.com/gopolemounts
To view the original version on PR Newswire, visit:http://www.prnewswire.com/news-releases/gopole-debuts-redesigned-reach-and-evo-gopro-camera-accessories-544031189.html
Panasonic Launches On4TodayTM, a Telehealth Service To Keep Residents of Assisted Living Facilities Connected
Newly-formed Health and Wellness Solutions group introduces tablet-based service helping residents to live independently; stay socially engaged with family, friends and care providers
NEWARK, N.J., Oct. 20, 2014 /PRNewswire/ -- Panasonic Corporation of North America has announced it will launch On4Today(TM), a tablet-based telehealth service, in November. The new Health and Wellness Solutions business group is part of Panasonic's ongoing business transformation and will deliver technology solutions to the healthcare market. The group's first offering - On4Today(TM) - is a non-clinical telehealth service provided to long-term care and assisted living facilities.
Designed as an 'always on' service, On4Today(TM) bridges potential communication gaps between assisted living facility residents and their families, friends and care providers. It delivers connectivity and easy-to-use communications intended to improve staff efficiencies, reduce anxiety for residents, promote peace of mind among family and friends and encourage stronger levels of resident engagement.
Panasonic is showcasing On4Today(TM) capabilities to healthcare professionals during the Leading Age Annual Meeting and Expo, taking place October 19 - 22 at the Music City Center in Nashville, TN. On4Today(TM) is featured in the Expo's centerpiece attraction - the Leading Age 'Idea House' - where innovative technologies and solutions are displayed in real-life settings.
With the launch of On4Today(TM), Panasonic is demonstrating the types of solutions and new generation of networked health information technologies that are enabling providers to deliver cost-effective services. Health and Wellness Solutions will leverage Panasonic's engineering roots and technology portfolio to address the challenges associated with individual and population health management.
"As the aging population increases, there is growing demand for flexible healthcare solutions capable of addressing a range of needs unique to this group," said Bob Dobbins, Vice President, New Business Development, who leads Panasonic's new Health and Wellness Solutions group. "Panasonic has the expertise to integrate its technology solutions to create a comprehensive network of care. On4Today(TM) is another step forward in paving the way for cost-effective 'care in place' practices," he added.
On4Today(TM) is delivered on a tablet and the tablet connects through WiFi. The lightweight device is portable and gives residents options to manage daily activities with calendar appointments and reminders, view photos and videos, and participate in video chats and messaging. Large font size, audio and visual prompts and touch screen scrolling features simplify site navigation and message access. The service is intuitive and can be customized, depending on facility needs or individual preferences.
"Quality and reliability are essential attributes for any long-term care or assisted living facility," noted Mr. Dobbins. "Panasonic's health and wellness solutions reinforce the importance of those characteristics by bringing advanced technology into the care continuum to create more meaningful interactions, generate higher levels of resident well-being and reduce facility costs."
About Panasonic Corporation of North America
Panasonic Corporation of North America provides a broad line of digital and other electronics products and solutions for consumer, business and industrial use. The company is the principal North American subsidiary of Osaka, Japan-based Panasonic Corporation and the hub of Panasonic's U.S. branding, marketing, sales, service and R&D operations. In Interbrand's 2014 annual "Best Global Green Brands" report, Panasonic ranked number five overall and the top electronics brand in the report. As part of continuing sustainability efforts, Panasonic Corporation of North America relocated its headquarters to a new facility, built to meet LEED certification standards, adjacent to Newark Penn Station in Newark, NJ. Learn more about Panasonic at http://www.panasonic.com/pressroom.
Connect with Panasonic:
Twitter, LinkedIn, Google Plus, Facebook, YouTube
Candy Crush Soda Saga Launches Worldwide on Facebook
LONDON and NEW YORK, October 20, 2014 /PRNewswire/ --
King Digital Entertainment plc (NYSE: KING), a leading interactive entertainment
company for the mobile world, today announced that Candy Crush Soda Saga, the sister title
to hit game Candy Crush Saga, has launched worldwide on Facebook.
Candy Crush Soda Saga is the next iteration in the Candy Crush franchise, set in the
world of the Candy Kingdom. Fans of the original hit can now switch and match their way
through new dimensions of gameplay with exciting new graphics and features including game
modes, candy combinations, gameplay mechanics and more.
Designed to be played alongside the original game, this next installment introduces
fun new features to players who love the gameplay and story of the first title, and are
looking for fresh challenges.
Candy Crush Soda Saga features the same Saga framework used in the original, where
players progress through new levels and episodes on the Saga map and can experience
various social layers when connected via Facebook.
"We're excited to announce that the next installment in the Candy Crush franchise is
now live on Facebook" said Sebastian Knutsson, Chief Creative Officer at King.
"Our long-term strategy is to develop global game franchises which are loved by
millions of players around the world. Candy Crush Soda Saga offers fans new gameplay
features and challenges to delight in, and has been designed to complement the original
title, which we believe players will also continue to enjoy."
Candy Crush Soda Saga is set to launch on mobile in the coming weeks.
About King
King Digital Entertainment plc (NYSE: KING) is a leading interactive entertainment
company for the mobile world. It has a network of 345 million monthly unique users as of
second quarter 2014, and offers more than 190 exclusive games in over 200 countries
through its king.com and royalgames.com websites, Facebook, and mobile distribution
platforms such as the Apple App Store, Google Play Store and Amazon Appstore. King has
game studios in Stockholm, Bucharest, Malmo, London, Barcelona, Berlin and Singapore along
with offices in San Francisco, Malta, Seoul, Tokyo and Shanghai.
(c) 2014 King.com Ltd. King, the King crown logo, Candy Crush Saga, Candy Crush Soda
Saga and related marks are trademarks of King.com Ltd and/or related entities.
For further information, please contact:
King, Susannah Clark, Senior Director of Communications, Tel: +44-7788-405-224
-- Extends the award-winning DesignWare STAR Memory System to support
embedded flash memories
-- Provides comprehensive test coverage and in-field diagnostics of the
failure mechanisms associated with embedded flash memories
-- Eliminates the need for expensive external test solutions for embedded
flash memories
-- Reduces overall design integration effort, development cycle and test
cost
-- Leverages STAR Memory System Silicon Browser and Yield Accelerator for
more efficient SoC bring-up and faster time-to-volume
Synopsys, Inc. (Nasdaq:SNPS), a global leader providing software, IP and services used to accelerate innovation in chips and electronic systems, today introduced the DesignWare® STAR Memory System® for Embedded Flash product, the industry's first integrated memory test and repair solution with test algorithms optimized for on-chip embedded flash memories. The DesignWare STAR Memory System is an automated pre- and post-silicon memory test, diagnostic and repair solution that enables designers to improve test coverage, reduce design time, lower test costs and maximize manufacturing yield. The STAR Memory System for Embedded Flash is a built-in self-test (BIST) solution that tests for the failure mechanisms associated with embedded flash memories, reducing overall integration time and cutting associated test costs by 20 percent compared to external solutions. Embedded flash memories are increasingly used with microcontrollers in system-on-chips (SoCs) for Internet of Things (IoT) wearables, smart appliances and automotive safety systems, which have stringent cost and reliability requirements.
"Synopsys' DesignWare STAR Memory System for Embedded Flash is a valuable product for chip designers utilizing our highly popular 55-nanometer process, which has already been widely adopted for numerous IoT applications," said Shih Chin Lin, senior director of IP development and design support division at UMC. "This solution provides our mutual customers with integrated test and repair capabilities that reduce overall design effort and lower test costs. Designers who are taking advantage of our 55-nanometer eFlash process will find that the post-silicon debug and analysis capabilities of Synopsys' Yield Accelerator and Silicon Browser will make designers' product characterization and validation efforts even more efficient."
The STAR Memory System for Embedded Flash offers in-field diagnostic capabilities to identify issues during system operation. With these capabilities, memory issues can be diagnosed even after the devices have shipped to the end customer.
The STAR Memory System allows hierarchical generation and verification of the test and repair IP to be inserted into the SoC while maintaining the original design hierarchy. This can reduce integration effort and SoC development time by allowing reuse of existing design constraints and configuration files. Additionally, the post-silicon Yield Accelerator and Silicon Browser features can reduce the time required for silicon bring-up and defect analysis for yield optimization, enabling the ramp to volume production to occur in weeks rather than months. Used in billions of chips, the STAR Memory System is a two-time winner of Test & Measurement World's prestigious "Best in Test" Award.
"SoC designers for IoT and automotive devices must implement cost-effective features that enable efficient test and diagnostics for the full life cycle of their products," said John Koeter, vice president of marketing for IP and prototyping at Synopsys. "Testing embedded flash memories has historically required expensive external test solutions. With STAR Memory System for Embedded Flash, designers can reduce their test cost and development schedules, getting their products to market faster."
Availability
The DesignWare STAR Memory System for Embedded Flash is available now for UMC's 55-nanometer process.
About DesignWare IP
Synopsys is a leading provider of high-quality, silicon-proven IP solutions for SoC designs. The broad DesignWare IP portfolio includes complete interface IP solutions consisting of controller, PHY and next-generation verification IP, analog IP, embedded memories, logic libraries, processor solutions and subsystems. To accelerate prototyping, software development and integration of IP into SoCs, Synopsys' IP Accelerated initiative offers IP prototyping kits, IP software development kits and customized IP subsystems for rapid integration of IP into SoCs. Synopsys' extensive investment in IP quality, comprehensive technical support and robust IP development methodology enables designers to reduce integration risk and accelerate time-to-market. For more information on DesignWare IP, visit http://www.synopsys.com/designware.
About Synopsys
Synopsys, Inc. (Nasdaq:SNPS) accelerates innovation in the global electronics market. As a leader in electronic design automation (EDA) and semiconductor IP, Synopsys delivers software, IP and services to help engineers address their design, verification, system and manufacturing challenges. Since 1986, engineers around the world have been using Synopsys technology to design and create billions of chips and systems. Learn more at http://www.synopsys.com.
VTech® Introduces New Learning Lodge® Content For InnoTab® Learning Tablets To Support STEAM Curriculum
STEAM Curriculum Encompasses Science, Technology, Engineering, Arts and Math
CHICAGO, Oct. 20, 2014 /PRNewswire/ -- VTech® (http://www.vtechkids.com), a world leader in age-appropriate and developmental stage-based electronic learning products for children, today announced the continued growth of its Learning Lodge® app store with the addition of STEAM-based content for its InnoTab® children's learning tablets. While STEM has been the buzz phrase for educators for some time, with many school districts eliminating art, music and other humanities classes, there has been a recent push to change the focus from STEM to STEAM, adding art to the mix of science, technology, engineering and math, for a more well-rounded education.
"We are always looking for new opportunities to expand our Learning Lodge content and offer parents the most comprehensive curriculum for their children in one place," said William To, President, VTech Electronics North America. "While our extensive collection already includes the STEAM subjects, we continue to add new titles and bundled content that quickly and easily let parents access the apps they want for their child."
Content on the Learning Lodge is available for VTech's InnoTab range, including InnoTab® MAX, its first children's learning tablet with Android((TM)1) learning content. VTech's most powerful and versatile 7" tablet yet, InnoTab MAX features access to more than 650 educator-supported VTech and Android apps, including hand-picked Android learning games and age-appropriate learning software and content through VTech's Learning Lodge, as well as VTech's rich library of cartridges. The apps, featuring a wide curriculum of language arts and reading, math, science, social studies, problem solving and creativity, have been reviewed by VTech's Expert Panel, made up of top educators from key knowledge areas, so parents know their child is getting learning content that is kid-friendly and educator-supported.
"Many experts agree that STEAM education is necessary in order to provide a well-rounded and comprehensive education for people of all ages, starting at the earliest levels," said Dr. Eric Klopfer, VTech Expert Panel member and Platform Learning Expert. "There are lots of great apps that support STEAM. Parents should look for ones that focus on art, music and other expressive activities to help build a child's creativity and keep them interested and engaged in a broad curriculum."
Later this year, VTech will be adding even more STEAM content, including individual titles as well as a bundle that focuses on science, technology, engineering, art and math subjects. For more information on InnoTab MAX and the STEAM curriculum, please visit http://www.vtechkids.com/ITMAX.
About VTech®
VTech(®) is a world leader in age-appropriate and developmental stage-based electronic learning products for children. As a pioneer in the learning toy category, VTech develops high-quality, innovative educational products that enrich children's development and make learning fun. With a rich, almost 35 year history, VTech has not only established itself as a learning authority but also consistently remains at the forefront of innovation with multiple award-winning products such as the InnoTab(®) MAX, VTech's first children's tablet with Android((TM)) learning content, Kidizoom(®) Smartwatch, the first smartwatch for kids with a built-in camera, InnoTab(®) 3S, MobiGo(®), V.Reader(®) and V.Smile(®). The Learning Lodge(®), VTech's comprehensive app store, features a robust library of more than 650 educational and entertaining games, e-Books, music and videos with engaging age-appropriate content across the widest variety of curricula, with content expanding to offer even more titles. The company also has a broad range of award-winning infant and preschool products available in 24 different languages worldwide, with more than 100 new products introduced every year. In order to further strengthen VTech's position as a learning authority, the company's Panel of Experts, with esteemed experts in reading, language arts, science, math, and child development, consult on new product introductions and Learning Lodge content.
VTech Electronics North America, LLC is based in Arlington Heights, Illinois. VTech Electronics Limited is headquartered in Hong Kong with distribution globally. VTech is the global leader in electronic learning products from infancy to preschool.
OmniVision's OV10642 and OV10625 Enhance Automotive Applications With Best-In-Class Sensitivity and HDR
New Sensors Enable Advanced Driver Assistance Systems Such as Pedestrian Detection, Lane Departure Warning and Collision Avoidance
SANTA CLARA, Calif., Oct. 20, 2014 /PRNewswire/ --OmniVision Technologies Inc. (NASDAQ: OVTI), a leading developer of advanced digital imaging solutions, today announced the newest additions to its portfolio of OmniHDR(TM) automotive high dynamic range (HDR) sensors: the 1.3-megapixel OV10642 and the WVGA OV10625. Both the OV10642 and the OV10625 deliver top-level sensitivity and HDR performance in their respective market segments. The sensors also use a special red-clear filter that is required for many forward-looking automotive applications. These benefits enable a host of advanced driver assistance systems (ADAS), including lane departure warning, blind spot detection and traffic signal detection, among others.
"As more automobiles adopt image sensor technology to enhance safety and the driving experience, the importance of high quality imaging and reliable sensing technology cannot be overstated," said Inayat Khajasha, senior product marketing manager for automotive products at OmniVision. "With industry-leading HDR and ultra-low light sensitivity, these sensors are capable of supporting highly advanced vehicle sensing systems deployed in the latest vehicle platforms."
The 1.3-megapixel OV10642 image sensor utilizes OmniBSI(TM) technology to deliver industry leading sensitivity and extended dynamic range in a simple, low-power and cost effective system. The 1/2.6-inch OV10642 supports an active array of 1280 x 1080 pixels and fits into a compact 7.4 x 7.2 mm package.
The 1/3.2-inch, WVGA OV10625 delivers HDR performance of up to 120 dB and best-in-class low-light sensitivity of 15 V/Lux-sec, ensuring accurate scene reproduction in a wide range of driving conditions. The OV10625 supports digital RAW data output and fits into one of the industry's most compact and efficient 7.3 x 7.8 mm package.
Both sensors are currently sampling and are expected to enter volume production in the fourth quarter of 2014.
About OmniVision
OmniVision Technologies Inc. (NASDAQ: OVTI) is a leading developer of advanced digital imaging solutions. Its award-winning CMOS imaging technology enables superior image quality in many of today's consumer and commercial applications, including mobile phones, notebooks, tablets and webcams, digital still and video cameras, security and surveillance, entertainment devices, automotive and medical imaging systems. Find out more at http://www.ovt.com.
Safe-Harbor Language
Certain statements in this press release, including statements regarding the expected benefits, performance, capabilities and potential market appeal, of the OV 10642 and the OV10625 are forward-looking statements that are subject to risks and uncertainties. These risks and uncertainties, which could cause the forward-looking statements and OmniVision's results to differ materially, include, without limitation: potential errors, design flaws or other problems with OV10642 and OV10625, customer acceptance, demand and other risks detailed from time to time in OmniVision's Securities and Exchange Commission filings and reports, including, but not limited to, OmniVision's annual report filed on Form 10-K and quarterly reports filed on Form 10-Q. OmniVision expressly disclaims any obligation to update information contained in any forward-looking statement.
OmniVision(®) and the OmniVision logo are registered trademarks of OmniVision Technologies Inc. CameraChip(TM), OmniBSI(TM) and OmniHDR(TM) are trademark of OmniVision Technologies Inc.
New H-EM 501 High-speed Streaming Camera From AOS Technologies Sets Frame Rate Records Over Gigabit Ethernet Link, Meets Every Demand of Airborne Applications
BADEN, Switzerland, Oct. 20, 2014 /PRNewswire/ -- AOS Technologies (http://www.aostechnologies.com), building on its reputation for superior high-speed recording technology, has released a new streaming camera for aerospace and defense applications. The H-EM 501 has been designed with the most rugged possible specifications while still delivering the functionality that users expect. The full product data sheet is available here.
Setting a new record for Gigabit connection frame rates, the H-EM solidifies AOS Technologies' position as a world leader in this industry. A complex algorithm has made it possible for the company to achieve this technical feat.
The H-EM 501 connects directly to an airborne computer via a single Gigabit Ethernet cable. Supplied software enables users to quickly configure the camera for specific needs and conditions. At the highest resolution of 2 megapixels, the camera can record at 90 frames per second (fps). Lower resolutions allow for high speeds and therefore more visual information - at a minimal resolution of 800x600, the H-EM 501 is capable of 300 fps. The camera complies with the current GigE Vision Standard, a global interface standard that enables fast connections and broad interoperability.
The housing and components of the H-EM 501 have been engineered with the defense industry in mind. The camera is compact and light, weighing in at less than a pound. Likewise, the camera can be mounted in the tight spaces typical of most aircraft. Performance testing confirms that sudden changes in temperature and humidity caused by rapid altitude changes do not impact the camera's function; the camera holds up equally well against shock and vibration.
Though small, the H-EM 501 more than delivers on expectations. AOS Technologies has developed a comprehensive software suite to accompany the camera, which gives users access to an assortment of functions, configurations and analytic tools. The camera can be programmed to trigger via motion detection or other signaling method, at which point it begins circular buffer recording. Motion analysis software powers the H-EM 501's auto tracking feature.
Recordings longer than a few seconds can be streamed directly to a disk by way of the Gigabit Ethernet link; depending on available space, several hours of video can be captured in this way. Users can export video to all of the standard movie file formats for playback on virtually any system or device.
The principle applications for the H-EM 501 are airborne store separation testing (missiles and other ballistics) as well as airborne countermeasure observation and testing. Monochrome, full color and near infrared versions of the camera are available to better suit a wide variety of discrete applications.
AOS Technologies is spearheading the transition to digital film technologies in the defense, aerospace, automotive and other industries. The H-EM 501 represents the future of such technologies within its niche. The company is confident that the camera's obvious advantages over film-based high-speed cameras will result in its rapid adoption.
About AOS Technologies
In 1998, AOS was originally founded as GmbH (LLC). To expand the company's capacities in both engineering and manufacturing, the GmbH (LLC) division was incorporated into AOS Technologies AG in 2002 and became a member of HT-Holding AG. HT-Holding is a privately owned Hi-Tech Holding firm based in Baden, Switzerland.
AOS Technologies AG is a worldwide manufacturer of high-speed cameras, high-speed streaming systems and fast industrial vision systems offering turnkey installations for its broad customer base. AOS products are used by leading companies in their industrial applications, by world class automotive manufacturer around the globe, as part of in-depth research projects of renowned universities and last but not least serves as THE high-speed measurement device in demanding defense applications such as airborne store separation tests.
Contact:
AOS Technologies AG
Taefernstrasse 20
5405 Baden Daettwil, Switzerland
Email
Phone: +41 56 483 3488
Fax: +41 56 483 3489
To view the original version on PR Newswire, visit:http://www.prnewswire.com/news-releases/new-h-em-501-high-speed-streaming-camera-from-aos-technologies-sets-frame-rate-records-over-gigabit-ethernet-link-meets-every-demand-of-airborne-applications-114437389.html
Marvell Unveils Groundbreaking SRAM-Based Network Search Engine with Industry's Highest Capacity, Fastest Performance and Lowest Power Consumption
New Marvell Questflo product line delivers 4X capacity and fastest speed at 1/3 the power consumption to support next-generation flow-based services for the Mobile Internet and Internet of Things
SANTA CLARA, Calif., Oct. 20, 2014 /PRNewswire/ -- Marvell (NASDAQ: MRVL) today introduced Questflo(TM) (98TX1100), a groundbreaking Static Random Access Memory (SRAM)-based network search engine, that delivers the industry's highest capacity and fastest performance while using a fraction of the power of existing solutions today. Marvell's new Questflo product line delivers 4X capacity at 1/3 the power consumption to address the needs of next generation networking equipment to collectively support the explosive growth of mobile devices, Internet of Things (IoT) and automotive connectivity where today's traditional Ternary Content Addressable Memory (TCAM)-based solutions are unable to address these future scaling requirements of both bandwidth and service density from a system cost, power, form-factor and reliability perspective. Marvell's new Questflo product line marks a technology breakthrough that will help carriers and service providers introduce, manage and rapidly scale new revenue-generating services while offering enhanced security and network quality. Applications for the 98TX1100 include carrier and enterprise service/edge routers, security appliances, network probes, data center switches, servers, load-balancers and new classes of Software Defined Network (SDN) and Network Functions Virtualization (NFV) platforms.
"Network search engine is a key pillar in supporting today's vast infrastructure of cloud computing and cloud-based services. I am very pleased that our groundbreaking Questflo technology will quadruple the network search capacity at the fastest speed and at a fraction of the power consumption for security appliances, software defined networks and other key network applications. It enables service providers and network operators around the world to significantly scale up their ability for the fast growing mobile internet and Internet of Things markets," said Weili Dai, President and Co-Founder of Marvell. "I am very proud of our dedicated and innovative global engineering teams for continuously raising the technology bar and making unparalleled contributions to the industry. It is our mission and passion at Marvell to make the world a better place through technology invention and innovation."
"As next-generation networking equipment adopts new paradigms based on highly flexible and highly scalable flow-based services, Marvell is entering the market as a credible supplier of network search technology. The magnitude of scaling required by these new equipment designs can only be realized using innovative new approaches like that represented by Questflo," said Bob Wheeler, Principal Analyst for Networking at The Linley Group.
As SDN has become a reality, the Questflo 98TX1100 family of network search co-processors is able to flexibly manage, deliver and secure up to 8 million service and virtualized flows with deterministic throughput and latency regardless of bandwidth, packet size and search lengths. The 98TX1100 is typically used with a network packet processor featuring standard high-speed Interlaken (ILK-LA) interfaces, such as Marvell's Xelerated® family of programmable network processors, Prestera® family of Ethernet packet processors or any customized ASIC or FPGA-based solution to scale the level of service processing beyond what can be supported and implemented in a single device.
Key features of Marvell Questflo 98TX1100 family include:
-- Up to 8 million flow entries
-- Flexible table configurations and multi-width searches
-- Advanced automatic table and resource management
-- 2.4 billion searches per second
-- Deterministic throughput of 1 clock cycle per search from 80b up to 640b
keys
-- Deterministic fixed low latency for searches from 80b up to 640b keys
-- Multi-port configuration for device and resource sharing
-- Carrier Grade reliability with ECC memory protection
-- Typical power consumption of 25W
The Questflo 98TX1100 family is currently sampling along with the evaluation platform and SDK. A complete platform development kit consisting of Marvell's Xelerated 400Gbps wire-speed network processor (NPU) and ARMADA® XP, 1.6GHz, quad-core, ARM-based embedded control processor is also available to enable customers the fastest time-to-market in developing high capacity, highly scalable and flexible packet processing solutions.
Marvell will be showcasing Questflo and the complete packet processing solutions at the upcoming Linley Tech Processor Conference this week, October 22(nd)-23(rd), at the Hyatt Regency, in Santa Clara, Calif.
About Marvell
Marvell (NASDAQ: MRVL) is a global leader in providing complete silicon solutions and Kinoma® software enabling the "Smart Life and Smart Lifestyle." From mobile communications to storage, Internet of Things (IoT), cloud infrastructure, digital entertainment and in-home content delivery, Marvell's diverse product portfolio aligns complete platform designs with industry-leading performance, security, reliability and efficiency. At the core of the world's most powerful consumer, network and enterprise systems, Marvell empowers partners and their customers to always stand at the forefront of innovation, performance and mass appeal. By providing people around the world with mobility and ease of access to services adding value to their social, private and work lives, Marvell is committed to enhancing the human experience.
As used in this release, the term "Marvell" refers to Marvell Technology Group Ltd. and its subsidiaries. For more information, please visit http://www.Marvell.com.
Marvell, the M logo, Prestera, Xelerated, ARMADA and Kinoma are registered trademarks of Marvell and/or its affiliates. Questflo is a trademark of Marvell and/or its affiliates. Other names and brands may be claimed as the property of others.
To view the original version on PR Newswire, visit:http://www.prnewswire.com/news-releases/marvell-unveils-groundbreaking-sram-based-network-search-engine-with-industrys-highest-capacity-fastest-performance-and-lowest-power-consumption-314159905.html
LOGIC Charges Up The Industry With Next-Gen Product Rollout
LOGIC's New "Closed System" Vaporizer Smokes the Competition at NACS Show
POMPANO BEACH, Fla., Oct. 20, 2014 /PRNewswire/ -- LOGIC Technology, a leading electronic cigarette company in the United States, is charging up the competition with the launch of their new LOGIC PRO Advanced Vapor Systems, the first-of-its-kind "closed-system" vaporizing device ever to hit the market. LOGIC PRO Advanced Vapor Systems work in conjunction with revolutionary pre-filled, sealed eLiquid capsules to provide a heightened level of taste, consistency and value to adult consumers. Representing the next generation of vaporizers in both technology and format, the new LOGIC PRO was among the most notable new product highlights during the 2014 National Association of Convenience Stores Show (NACS) in Las Vegas, NV.
Building on a long history of premium and innovative product development, LOGIC Technology designed the LOGIC PRO to work with specialized, proprietary disposable capsules that are only available for purchase at LOGIC's partner retailers; this not only allows retailers to recapture and maintain sales, it also enhances the vaping experience for the adult consumer. Beyond providing consistent quality taste, these sealed eLiquid capsules don't produce the messy and costly spillage associated with traditional drip eLiquid.
"We are thrilled to bring a product to market that fills the need of our adult consumers. With the amount of money that is lost by retailers from online eLiquid sales, we are pleased to offer our retailers and partners a piece of that market share," said Miguel Martin, president of LOGIC Technology. "The response from retailers, wholesalers and analysts at this year's NACS was extremely positive, exceeding our expectations in both interest and orders, and only further fueled our excitement about the upcoming product rollout at the end of the year."
LOGIC PRO Advanced Vaporizing Systems will hit retail shelves by Winter 2014, accompanied by strong retail support programs. Following the launch, LOGIC Technology expects to deliver additional new products and breakthrough innovation to its adult consumers in the coming year, while maintaining its responsible industry approach.
For more information on LOGIC PRO Advanced Vapor Systems and LOGIC Technology visit http://www.LogicEcig.com.
About LOGIC Technology
LOGIC is the leader in premium electronic cigarettes, offering a smarter alternative to smoking tobacco products. With multiple industry leading patents, LOGIC sets itself apart by featuring revolutionary technology that delivers the most realistic smoking experience. Simulating the feeling and taste of a real cigarette, LOGIC provides a sensory experience that satisfies a smoker's addictive cravings for nicotine, tobacco flavor, hand-to-mouth motion and vapor release. For more information, please visit http://www.logicecig.com, twitter.com/logicecig and facebook.com/LogicECigarette.
SOURCE LOGIC Technology
LOGIC Technology
CONTACT: Chris Thatcher, 5W Public Relations, 212-999-5585, cthatcher@5wpr.com
Lantiq Significantly Reduces Costs of Voice Telephony
New Generation DUSLIC(TM)XS Provides Single or Dual Voice Lines in Home CPE, Features Minimum Power and Very Low BOM Cost
MUNICH and NEUBIBERG, Germany, Oct. 20, 2014 /PRNewswire/ -- Lantiq, a leading supplier of broadband access and home networking technologies, today announced its next generation voice line termination chip for customer premise equipment (CPE) designs. Continuing a track record of innovation, the new DUSLIC(TM)XS reduces the cost for CPE manufacturers to provide wideband-capable voice telephony with fewer external components than any alternative and with a best-in-industry stand-by power figure of less than 20mW (50 percent less than competitor ICs).
DUSLIC XS is the optimum solution to implement dual- or single-line analog phone services on any fiber, cable, LTE or xDSL home gateway device. In addition to the lowest part count and lowest power consumption, the chip integrates automated line testing capabilities that far exceed the requirements of GR.909.
Johannes Eiblmeier, vice president, and head of the Voice and Telecom Products Business Line:
"The latest generation of our DUSLIC family again sets the benchmark for CPE voice line termination. One example is the combined DC/DC mode, which allows use of a single power converter to support two voice lines while still achieving exceptional power consumption to readily meet the stringent requirements defined in the European Code of Conduct (CoC)."
DUSLIC XS Features
-- Twenty percent smaller than predecessor - Single-package, cost and
footprint optimized CODEC/SLIC solution for implementation of the FXS
(Foreign Exchange Subscriber) interface required to support analog fixed
line phone service, available in an 8x8 mm package for dual or
single-voice line, or single-line chip in 7x7 mm package.
-- Most cost efficient solution in the market - Bill-of-Material (BOM) cost
below US 20 cents for dual-line termination achieved through integration
and elimination of duplicated external components (i.e., power
conversion and protection circuits) required for alternative dual-line
designs.
-- CO-grade transmission performance and operation in industrial
temperature range with wideband support (16 kHz, 16 bit linear),
automatic ring current regulation, DTMF generation and detection, and
caller ID generation.
-- Reference designs for 2-layer board solutions, including schematics and
List of Material (LOM) for various applications (PnP, NMOS, flyback,
-48V buck/boost, low input voltage etc.).
-- Integrated line testing features include Capacitance Measurement, Phone
Detection Test, AC Level Metering, Make-and-Break Dial Tone Test, and
Universal Tone Detection Test.
Availability
Lantiq's new DUSLIC XS and associated reference designs are available now and will be shown at the Broadband World Forum, October 21(st) - 23(rd) in Hall 11, booth C10. More Information can be found on the Lantiq web site at: http://www.lantiq.com/duslic/.
About Lantiq
Lantiq, a leading supplier of broadband access and home networking technologies, offers a broad and innovative semiconductor product portfolio for next-generation networks and the Digital Home. More information about Lantiq is available on our Website or via Twitter @Lantiq, LinkedIn and YouTube.
To view the original version on PR Newswire, visit:http://www.prnewswire.com/news-releases/lantiq-significantly-reduces-costs-of-voice-telephony-118915725.html
SOURCE Lantiq
Lantiq
CONTACT: Worldwide Headquarters, Christoph von Schierstaedt, +49 (89) 89899 7556, Schierstaedt@lantiq.com
Brother Launches Special Anniversary Edition Hello Kitty Home Sewing Machine in Malaysia
PETALING JAYA, Malaysia, Oct. 20, 2014 /PRNewswire/ -- Brother International Malaysia, a leader in home and industrial sewing machines, has launched two special anniversary edition of Hello Kitty home sewing machine,NV980K and GS2786K. NV980K multi-function machines combine sewing, quilting and embroidery features with the latest innovation and technology.
NV980K Hello Kitty sewing and embroidery machines come with a variety of built-in, easy to sew and embroidery patterns that are exclusive to Brother. The unique patterns are aimed at hobbyist quilters and crafters from adults to children. Both machines combine Brother's quality and reliability with the latest innovations from the company's state-of-the-art product development labs inJapan.
"Our customers are always seeking unique patterns that will easily bring their creations to life. These exclusive sewing machine edition will attract Hello Kitty fans inMalaysia and this innovation will inspire people's interest to cultivate sewing as part of hobby. These Hello Kitty sewing machine series give the fun in functionality while providing a sophisticated and professional finishing," said Mr Segawa, Country Head of Brother International Malaysia.
Designed for all skill levels
The NV980K is the ideal machine for the user's home sewing and embroidery needs. The machine's 100 x 100mm embroidery area provides ample space for users to enjoy the multiple built-in decorative stitches and embroidery designs at their own comfort. The NV980K is also lightweight and portable, allowing Hello Kitty fans and sewing enthusiasts to bring the machine to classes, travels or family gatherings. The GS2786K is a classic sewing machine with a wide range of stitch designs and exclusive Hello Kitty patterns to add more fun and creativity to traditional dressmaking.
Brother Hello Kitty Home Sewing Machine Overview and Availability
Brother NV980K
RSP: RM4,499.00
Warranty: 1 year carry-in
* Brother exclusive Hello Kitty design * 54 Exclusive Hello Kitty embroidery patterns * 100 x 100mm Embroidery area * 70 Built-in embroidery patterns * 126 Embroidery patterns bonus CD * 129 Built-in utility and decoration stitches * Built-in monogramming fonts * Automatic needle threader * Quick set bobbin * Easy bobbin winding * Handy Central Control * USB port * LCD touch screen * Extension table Brother GS2786K
RSP: RM849.00
Warranty: 1 year carry-in
* Brother exclusive Hello Kitty design * Built-in needle threader * Quick set bobbin * 1-step buttonhole * 27 Built-in stitches * Instructional DVD * Craft work recipe bonus DVD * LED light All prices are inclusive of the prevailing taxes. The Brother NV980K and GS2786K are available for purchase at Brother International Malaysia's authorised resellers and superstores and are supported by Brother Customer Service Centre, located at the T1-5, 5th Floor, Tower 1, Jaya 33, No.3 Jalan Semangat, 46100 Petaling Jaya,Selangor. Opening hours are from Mondays to Fridays,8.30am to 5.30pm, and on Saturdays, from 8.30am to 1.00pm.
About Brother International
Brother has won recognition as a brand synonymous with delivering product innovation and customer satisfaction. A Japanese company founded in 1908, Brother has 19 production facilities and 43 sales companies operating in 44 countries in different regions today.
Brother is now a leading brand that produces quality innovative products for the print and imaging, labelling and sewing markets. Key products include laser printers, Multi-Function Centres (MFCs), fax machines, labellers, label printers, and a wide range of home and industrial sewing machines. A trusted brand worldwide that believes in the "Customer First" approach in all aspects of our business, Brother has continuously met the varied needs of our customers through our comprehensive range of quality solutions.Brother International Malaysia has grown in strength, not only in regional expansion and certified with ISO 9001:2008
NOTE: All brand and products names are trademarks or registered trademarks of their respective companies.
About Brother Earth
Brother always takes responsibility, acts respectfully and tries to make a positive difference. Brother Earth is Brother's attitude and commitment to play a part in building a society with sustainable development. Help the environment now by giving a click athttp://www.brotherearth.com <http://www.brotherearth.com/>. Brother will contribute to a variety of global environmental protection activities on your behalf. The number of clicks each project receives will determine proportionately how the funds will be allocated.
Global Sources completes launch of its mobile electronics vertical -- an ecosystem connecting buyers and suppliers across five media
World's largest mobile electronics sourcing show opens with more than 1,500 booths
HONG KONG, Oct. 18, 2014 /PRNewswire/ --Global Sources (NASDAQ: GSOL) has now fully launched its suite of services for the mobile electronics industry. Mobile Electronics is an industry-specialized community of buyers and suppliers -- connected through content, communication and five media channels -- an online marketplace, a magazine, alerts, an app and trade shows.
-- 400,000 buyers worldwide including more than 100,000 verified at shows;
-- 10,000 suppliers -- with a focus on including those who have exported,
exhibited or been audited;
-- The No. 1 site for industry featuring exclusive product reports,
research and analysis; and
-- The No. 1 sourcing show each April and October in Hong Kong.
With more than 1,500 booths, the Mobile Electronics fair is the largest sourcing show of its kind. Buyers visiting the event, held Oct. 18-21 at Hong Kong's AsiaWorld-Expo, will find a wide range of smartphones, tablets, wireless products, wearables and accessories by thousands of manufacturers from China and Korea.
Global Sources' CEO, Spenser Au, said: "Mobile electronics is a multi-billion dollar with strong growth across all categories and geographies -- nearly 2 billion mobile phones will be sold during 2014. Meanwhile, the wearables category is set to explode reaching US$8 billion by 2018.
"Our services were developed to help buyers in this industry make the right decision -- at the right time -- by delivering products, suppliers and intelligence through the channels of their choice."
Ten of thousands of buyers are expected to attend include Belkin, Casio, Foxconn, Hisense, Intelbras, Lexmark, Lotte Himart, MacWay, MGB Metro, Microsoft, Monster Products, Motorola, RadioShack, Siemens, TCL, and many more.
"Leading with Innovation" keynote sessions
The Mobile Electronics show this fall features conference keynote themed "Leading with Innovation" where some of the industry's most influential players will share their visions, explore new innovations and technology trends, and take audiences inside the ever-expanding mobile electronics world.
Top-notch speakers from Intel, Monster Products, Lenovo, Misfit will share their views on topics including the convergence of mobility and intelligence, emerging ecosystem of telecoms and streaming music, new era of mobility, and convergence of fashion and wearable technology.
Value-added services enhance ROI for trading partners
Global Sources offers a full range of complimentary services to maximize participants' ROI from the show:
-- Mobile app provides a searchable exhibitor directory with product
profiles, interactive floor plan and conference information.
-- Smart wristband gives VIP buyers exclusive access to premium services at
the show.
-- VIP buyer office suite provides selected buyers with on-site office
facilities for higher efficiency during their souring trips.
-- Private Sourcing Events allow pre-selected exhibitors exclusive
opportunities to meet large global companies in private. Eight sourcing
teams from buyers including AMZER, Blade Group, CYA, Monster Products,
Philips, TurboPad and Valore are scheduled to participate, representing
combined annual sales of more than US$33.8 billion.
Visitors to Global Sources Mobile Electronics Fair will also be able to see the world-famous robot, Titan during the 4-day show. Titan will perform multiple times a day, and pose for photos with show attendees. To follow the robot's action at the show, please visit: http://www.facebook.com/GlobalSourcesMobileElectronics.
Opening times for the Global Sources Mobile Electronics Fair are:
-- Oct. 18-20 from 10:00 a.m. to 6:00 p.m.
-- Oct. 21 from 10:00 a.m. to 5:00 p.m.
More information about the Fair can be found at http://www.chinasourcingfair.com/hkme.
More information about Global Sources is available on the company's corporate site (http://www.corporate.globalsources.com), Facebook and Twitter (/globalsources).
About Global Sources
Global Sources is a leading business-to-business media company and a primary facilitator of trade with Greater China.
The core business facilitates trade between Asia and the world using English-language media such as online marketplaces (GlobalSources.com), print and digital magazines, sourcing research reports, private sourcing events, and trade shows.
More than 1 million international buyers, including 95 of the world's top 100 retailers, use these services to obtain product and company information to help them source more profitably from overseas supply markets. These services also provide suppliers with integrated marketing solutions to build corporate image, generate sales leads and win orders from buyers in more than 240 countries and territories.
Global Sources' other businesses provide Chinese-language media to companies selling to and within Greater China. These services include online web sites, print and digital magazines, seminars and trade shows. In mainland China, Global Sources has a network of more than 30 office locations and a community of more than 5 million registered online users and magazine readers of its Chinese-language media.
Now in its fifth decade, Global Sources has been publicly listed on the NASDAQ since 2000.
QIAGEN Demonstrates Expanded Bioinformatics Workflows at ASHG; Strong Market Adoption as Researchers Analyze Genomic Data on More Than a Quarter-Million Samples in Secure Private Cloud
SAN DIEGO and HILDEN, Germany, October 17, 2014 /PRNewswire/ --
- Translational research in cancer and other diseases will benefit from new
capabilities being presented at the American Society of Human Genetics annual meeting
- Volume of 250,000-plus DNA samples linked to Ingenuity(R) Knowledge Base
greatly exceeds any comparable database - providing superior depth for genomic
analysis
- Ingenuity(R) Variant Analysis(TM) adds fully integrated BIOBASE HGMD(R)
Professional to deliver quick, detailed reports on inherited mutations
- CLC Cancer Research Workbench gains copy number detection and first
"FastQ-to-insight" solution through integration with Ingenuity(R) Variant Analysis(TM)
to help users uncover meaningful insights in cancer research
QIAGEN N.V. (NASDAQ: QGEN; Frankfurt Prime Standard: QIA) today announced a number of
new capabilities for its Ingenuity(R) Variant Analysis(TM) and CLC Cancer Research
Workbench solutions that accelerate the workflows for researchers to move from sample to
insight with next-generation sequencing (NGS). The company is demonstrating the latest
workflows for analysis and interpretation of NGS data, focused on hereditary disease and
detection of somatic driver mutations in cancer, at the American Society of Human Genetics
(ASHG) annual meeting from October 18-22 in San Diego, California.
"Adoption of QIAGEN's universal bioinformatics solutions is growing rapidly as we
continue to integrate and expand the capabilities of our applications and knowledge bases
from CLC bio, Ingenuity and BIOBASE. Thousands of researchers to date have already
analyzed over 250,000 human DNA samples using QIAGEN bioinformatics products," said Dr.
Laura Furmanski, Senior Vice President of QIAGEN and head of the Bioinformatics Business
Area. "Data analysis and interpretation remain a significant bottleneck in next-generation
sequencing. We are increasing QIAGEN's leadership in bioinformatics by further integrating
and expanding our portfolio of universal solutions to enable disease-focused researchers
to move rapidly from raw data to valuable insights. We are pleased to demonstrate our
streamlined, advanced analysis workflows for translational research, other scientific
fields and clinical applications to the human genetics community at ASHG."
QIAGEN's Ingenuity Variant Analysis is a web-based software application that quickly
filters genetic variants in a secure, private cloud-based environment to identify variants
most likely to cause disease. Ingenuity applications provide researchers a powerful
platform to efficiently evaluate data generated by high-throughput NGS technologies.
Ingenuity Variant Analysis leverages the Ingenuity Knowledge Base, a repository of
expertly curated biological interactions and functional annotations created from millions
of individually modeled relationships between proteins, genes, complexes, cells, tissues,
drugs, and diseases. To support users focused on hereditary disease, QIAGEN now has fully
integrated HGMD Professional with Ingenuity Variant Analysis so that researchers no longer
require a separate HGMD license. HGMD is a unique resource that provides comprehensive
data on human inherited disease mutations and is widely used in human genetics research,
diagnostics and personal genomics applications. Through this integration, users can now
utilize ethnicity inference to simplify dataset groupings and to identify variants
associated with target traits (such as high cholesterol or physical traits) at no
additional cost.
CLC Cancer Research Workbench adds CNV detection and integration with Ingenuity
Variant Analysis
QIAGEN's CLC Cancer Research Workbench, the first comprehensive, user-friendly and
customizable cancer-focused informatics solution, provides scientists and clinicians with
tools to discover prognostic markers, identify subclonal somatic mutations, detect
inherited traits, find biomarkers for drug response, and determine new oncogenes. All
results can be filtered, visualized and compared with relevant databases. Users will now
also be able to detect copy number variations (CNVs) and variants from RNA-seq data, which
can be further analyzed using Ingenuity Variant Analysis for causal variant
identification. QIAGEN has also developed the first "FastQ-to-insight solution," which
integrates CLC Cancer Research Workbench directly with Ingenuity Variant Analysis. This
new Workbench plug-in allows users to identify and interpret somatic cancer driver
mutations with one click using Ingenuity Variant Analysis and to visualize the results in
both products to identify and validate the best candidates. A demonstration of this new
tool will be shown at ASHG. The product's launch is expected at the end of October.
QIAGEN Presence at ASHG
QIAGEN scientists will be discussing Ingenuity and CLC bio solutions in the company's
booth (#936-938) throughout the meeting and also during an Exhibitor Education Event in
Room 11A at the Convention Center on Tuesday Oct. 21 from 12:30-1:45 p.m. In addition,
more than 30 posters will highlight the use of Ingenuity and CLC bio products, including:
Saturday, Oct. 18, HGVS meeting (preconference of ASHG)
- Comparison and interpretation of variants in RNA and DNA from sarcoma
cancer sample
Sunday, Oct. 19, 5-6 p.m.
- Comparing variant filters from transcriptome and exome sequencing data
(#1578S)
- Exome sequencing of multiplex oral clefts families detects recurrent shared
rare variants in nine genes (#742S)
Tuesday, Oct. 21, 2-3 p.m.
- Identification of differentially-expressed genes and somatic mutations in
esophageal adenocarcinoma cancer patients (# 1391T)
About QIAGEN
QIAGEN N.V., a Netherlands-based holding company, is the leading global provider of
Sample & Assay Technologies that are used to transform biological materials into valuable
molecular information. Sample technologies are used to isolate and process DNA, RNA and
proteins from biological samples such as blood or tissue. Assay technologies are then used
to make these isolated biomolecules visible and ready for interpretation. QIAGEN markets
more than 500 products around the world, selling both consumable kits and automation
systems to customers through four customer classes: Molecular Diagnostics (human
healthcare), Applied Testing (forensics, veterinary testing and food safety), Pharma
(pharmaceutical and biotechnology companies) and Academia (life sciences research). As of
June 30, 2014, QIAGEN employed approximately 4,200 people in over 35 locations worldwide.
Further information can be found at http://www.qiagen.com.
Certain of the statements contained in this news release may be considered
forward-looking statements within the meaning of Section 27A of the U.S. Securities Act of
1933, as amended, and Section 21E of the U.S. Securities Exchange Act of 1934, as amended.
To the extent that any of the statements contained herein relating to QIAGEN's products,
markets, strategy or operating results, including without limitation its expected
operating results, are forward-looking, such statements are based on current expectations
and assumptions that involve a number of uncertainties and risks. Such uncertainties and
risks include, but are not limited to, risks associated with management of growth and
international operations (including the effects of currency fluctuations, regulatory
processes and dependence on logistics), variability of operating results and allocations
between customer classes, the commercial development of markets for our products in
applied testing
[http://www.qiagen.com/About-Us/Press-Releases/PressReleaseView/?PressReleaseID@5&lang=EN ]
, personalized healthcare, clinical research, proteomics
[http://www.qiagen.com/About-Us/Press-Releases/PressReleaseView/?PressReleaseID@5&lang=EN ]
, women's health/HPV
[http://www.qiagen.com/About-Us/Press-Releases/PressReleaseView/?PressReleaseID@5&lang=EN ]
testing and nucleic acid
[http://www.qiagen.com/About-Us/Press-Releases/PressReleaseView/?PressReleaseID@5&lang=EN ]
-based molecular diagnostics
[http://www.qiagen.com/About-Us/Press-Releases/PressReleaseView/?PressReleaseID@5&lang=EN ]
; changing relationships with customers, suppliers and strategic partners; competition;
rapid or unexpected changes in technologies; fluctuations in demand for QIAGEN's products
(including fluctuations due to general economic conditions, the level and timing of
customers' funding, budgets and other factors); our ability to obtain regulatory approval
of our products; difficulties in successfully adapting QIAGEN's products to integrated
solutions and producing such products; the ability of QIAGEN to identify and develop new
products and to differentiate and protect our products from competitors' products; market
acceptance of QIAGEN's new products, the consummation of acquisitions, and the integration
of acquired technologies and businesses. For further information, please refer to the
discussions in reports that QIAGEN has filed with, or furnished to, the U.S. Securities
and Exchange Commission (SEC).
Contacts:
QIAGEN
Investor Relations
John Gilardi
+49-2103-29-11711
e-mail: ir@QIAGEN.com
Public Relations
Dr. Thomas Theuringer
+49-2103-29-11826
e-mail: pr@QIAGEN.com
Let's Get One Thing Straight: A Solution to #BendGate Has Arrived
OLATHE, Kan., Oct. 17, 2014 /PRNewswire/ -- Following news that Apple's new iPhone 6 and iPhone 6 Plus are susceptible to bending with regular use, mobile repair shop owners worldwide are relieved to find the solution to "BendGate" has arrived.
The gTool PanelPress has recently received attention as the first and only repair solution for fixing bent iPhones. Specifically, the PanelPress has been regarded as the only device to "un-bend" the iPhone 6.
While typical iPhone users might not see the precision-engineered PanelPress as a solution for unbending their own iPhones, professional technicians are already using the gTool PanelPress in repair shops nationwide. Trusted repair centers like CPR and UBreakiFix have already implemented this new technology to provide a solution for customers who have been personally affected by "BendGate."
The gTool PanelPress is a customized repair tool from the designers of gTool. Available exclusively at eTech Parts, the PanelPress is designed to repair the iPhone 5/5s/5c as well as the iPhone 6 and iPhone 6 Plus. This device consists of four aluminum bars with two adjustable levers to gradually straighten out the iPhone's thin aluminum case. A new video from eTech Parts demonstrates the process of repairing a bent iPhone using the PanelPress. The gTool PanelPress was created for professionals and requires practice to perfectly execute the un-bending of an aluminum back case.
Did you know broken iPhones have helped create over 7,000 jobs in the United States alone? Since the release of the first iPhone in 2007, repairing broken phones has become a multi-billion dollar industry - one of the fastest growing industries in technology today. As the industry's leading distributor of premium repair parts and precision tools, eTech Parts is proud to service the wireless repair industry.
For more information and to learn how to purchase the gTool PanelPress, contact eTech Parts at gtool@etechparts.com.
SmileBack Launches To Make Dating Easier For College Students
Dating app will be available on 75 college campuses by the end of 2014
NEW YORK, Oct. 17, 2014 /PRNewswire/ -- Today, the newest dating app, SmileBack, launched to help college students find and meet new people on campus. Created by Dan Berenholtz and Venkat Dinavahi after they dropped out of Stanford, SmileBack is a fun and simple app that turns the mobile dating experience into a game.
With SmileBack, users can't swipe left or right as with popular other apps. Instead, SmileBack has created a system that allows people to express interest in another person completely anonymously, simply by sending that person a 'smile.' The game encourages users to interact with each other within the app by requiring 'smile receivers' to guess which user sent the 'smile.' A match can only be made when the person on the receiving end of the 'smile' guesses the correct sender. When a match is made, the pair can chat immediately and in certain locations the app will provide a voucher for a free, first date drink to the person who guessed correctly and created the match (vouchers can only be redeemed by people over the age of 21).
"This is a really exciting time for SmileBack," says Dan Berenholtz, SmileBack Founder & CEO. "College campuses are a tough nut to crack and we're so excited that our technology has taken off and is helping students simplify the process of online dating."
SmileBack currently has over 50,000 users on 45 college campuses with the largest number of active users at the University of Indiana Bloomington. They'll grow into 75 schools by the end of 2014.
About SmileBack
SmileBack is a mobile app that turns the mobile dating experience into a game. Focusing primarily on college-age users, SmileBack has developed a fun and simple way to help students meet new people. The app currently has over 50,000 users on 45 campuses across the United States and will grow to 75 schools by the end of 2014. Download SmileBack for free in the App Store at https://itunes.apple.com/us/app/smileback/id584629427?mt=8 or visit http://smilebackapp.com/ to learn more.
SOURCE SmileBack
SmileBack
CONTACT: Martina Suess, +1 (212) 935-5637, m@quotidian.co
ImmersiON-VRelia to unveil immersive space experience at NASA's 75th Anniversary Open House
Company to exhibit NASA space exploration experience on GO Head Mounted Display at Oct. 18 event
MOUNTAIN VIEW, Calif., Oct. 17, 2014 /PRNewswire/ -- ImmersiON-VRelia, the premiere innovator in the HMD Virtual Reality sector, announced today that it will exhibit at NASA's 75(th) Anniversary Open House. The event, set for October 18 at the NASA Ames Research Center in Mountain View, California, is expected to draw approximately 120,000 attendees.
ImmersiON-VRelia will display NASA datasets on the ImmersiON-VRelia GO pre-production unit, which offers a full Virtual Reality experience powered by a smartphone. Attendees will enjoy the opportunity to explore outer space in a fully immersive, Virtual Reality 3D environment. The GO is an accessory that turns any mobile phone into a Head Mounted Display (HMD) delivering previously unseen features such as a 120-degree field of view, adjustable optics and the ability to accommodate most smartphones.
"Until now, the general public's ability to experience outer space in a fully immersive environment has been limited," said Ethan Daniel Schur, CMO of ImmersiON-VRelia. "The GO HMD provides a platform for the average person to better understand the next frontier, as it actually exists, from the comfort of their home."
Upon the GO's release in Fall 2014, the NASA datasets displayed at the October 18 event will be available to all Immersion users, in addition to copious other on-demand content via "The AlterSpace." The AlterSpace, designed by ImmersiON-VRelia's founder, Manuel Gutierrez-Novelo, operates as a content platform for all ImmersiON-VRelia head mounted displays. It is cloud-based and allows developers at all levels to design and market content such as virtual worlds, videos, games, applications, environments, mixed reality and immersive experiences, and it may be utilized in practically any industry.
"We are honored to exhibit as part of NASA's open house celebration. It is a valuable opportunity to showcase how our Virtual Reality technology platform, can dramatically enhance the educational experience demonstrating amazing NASA datasets, all inside the AlterSpace and using practically any cellphone," said Manuel R. Gutierrez Novelo, CEO & President of ImmersiON-VRelia.
The October 18 event will be held at the NASA Ames Research Center located at Moffett Field from 9 a.m. to 5 p.m. ImmersiON-VRelia's exhibit will be located at Building 17 at NASA's Research Park for those interested in the immersive experience.
About ImmersiON-VRelia:
ImmersiON-VRelia is focused exclusively on the creation of wide field-of-view 3D Stereoscopic Head Mounted Displays and Heads Up Displays for virtual reality and Augmented Reality for multiple industries.
This release was issued through Send2Press(R), a unit of Neotrope(R). For more information, visit Send2Press Press Release Distribution at http://www.Send2Press.com
SAP Launches Two Enterprise Applications Supporting Vuzix' M100 Smart Glasses
Market Leader in Enterprise Application Software Provides Solutions that Support Vuzix' Smart Glasses for Hands-Free Warehouse Picking and Field Service Technician
ROCHESTER, N.Y., Oct. 17, 2014 /PRNewswire/ -- Vuzix® Corporation (OTCQB: VUZI), a leading supplier of Video Eyewear and Smart Glasses products in the consumer, commercial and entertainment markets, today announced that SAP has released two new applications for Vuzix' M100 Smart Glasses for the enterprise markets. The new augmented reality (AR) applications, SAP® AR Warehouse Picker and the SAP® AR Service Technician, help simplify and improve the user experience and work processes by offering a hands-free working experience. Vuzix has been working with SAP, a global provider of enterprise application software, to develop cutting edge augmented reality (AR) applications around Vuzix' M100 Smart Glasses for application in many enterprise areas including these initial applications for warehousing and field service. In support of SAP's software rollout, Vuzix has started shipping upgraded M100 Smart Glasses to SAP's sales team members, pre-loaded with the SAP demo software.
The SAP® AR Warehouse Picker is designed for the warehouse picker, allowing hands-free mobility using visualization and voice recognition to receive instructions via the M100 Smart Glasses to complete daily tasks without a hand held scanning device. Functions include bar code scanning for handling units, locations, products, stations and any other required scans, as well as voice input for quantity confirmation. With secure authentication on the user's SAP System thru QR-Code identity scans, the user experience is enhanced through the elimination of the need to enter a username, password, or login. To view a concept video of the SAP® AR Warehouse Picker please visit: http://www.youtube.com/watch?v=9Wv9k_ssLcI
The SAP® Service Technician application allows the field service technician to have access to 3D Visual Enterprise models of their workplace with instructions anywhere in a hands-free experience, allowing them to perform the necessary operations. The application features voice recognition and enables the use of an expert calling feature which allows a remote expert to give directions to a colleague while seeing what the field technician is seeing through their M100 Smart Glasses or Head Mounted Display. To View a concept video of the SAP® Service Technician please visit: http://www.youtube.com/watch?v=UlpGDrSmg38
The release of these two applications further extends the depth of Vuzix' growing portfolio of industry leading solutions being implemented for both enterprise and prosumer solutions. These applications are extremely scalable and have the potential to streamline operations in the warehouse, airline, oil and gas, and automotive industries, among others.
Paul Travers, President and CEO of Vuzix commented, "The launch of SAP's new applications for enterprise users demonstrates how the combination of our Smart Glasses and SAP's cutting edge software can deliver powerful tools that provide improved operating efficiency and ease of use for the operator. We have been working closely with SAP to develop Smart Glasses based productivity applications for the industrial user base and look forward to assisting them with many successful implementations of their software around the M100. This is a huge untapped market and we look forward to begin signing up customers."
About SAP
As market leader in enterprise application software, SAP (NYSE: SAP) helps companies of all sizes and industries run better. From back office to boardroom, warehouse to storefront, desktop to mobile device - SAP empowers people and organizations to work together more efficiently and use business insight more effectively to stay ahead of the competition. SAP applications and services enable more than 261,000 customers to operate profitably, adapt continuously, and grow sustainably. For more information, visit http://www.sap.com.
About Vuzix Corporation
Vuzix is a leading supplier of Video Eyewear and Smart Glasses products in the consumer, commercial and entertainment markets.
The Company's products include personal display and wearable computing devices that offer users a portable high quality viewing experience, provide solutions for mobility, wearable displays and virtual and augmented reality. Vuzix holds 39 patents and 10 additional patents pending and numerous IP licenses in the Video Eyewear field. The Company has won Consumer Electronics Show (or CES) awards for innovation for the years 2005 to 2014 and several wireless technology innovation awards among others. Founded in 1997, Vuzix is a public company (VUZI.QB) with offices in Rochester, NY, Oxford, UK and Tokyo, Japan.
Forward-Looking Statements Disclaimer
Certain statements contained in this news release are "forward-looking statements" within the meaning of the Securities Litigation Reform Act of 1995 and applicable Canadian securities laws. Forward looking statements contained in this release relate to and include the new technology and products that might evolve including the Company's the company's partnerships with partners like SAP and the performance of its products and these and other applications in the enterprise markets, among other things, and the Company's leadership in the Video Eyewear and AR display industry. They are generally identified by words such as "believes," "may," "expects," "anticipates," "should" and similar expressions. Readers should not place undue reliance on such forward-looking statements, which are based upon the Company's beliefs and assumptions as of the date of this release. The Company's actual results could differ materially due to risk factors and other items described in more detail in the "Risk Factors" section of the Company's Annual Reports and MD&A filed with the United States Securities and Exchange Commission and applicable Canadian securities regulators (copies of which may be obtained at http://www.sedar.com or http://www.sec.gov ). Subsequent events and developments may cause these forward-looking statements to change. The Company specifically disclaims any obligation or intention to update or revise these forward-looking statements as a result of changed events or circumstances that occur after the date of this release, except as required by applicable law.
For further information:
Investor Relations Contact:
Andrew Haag
Managing Partner
IRTH Communications
vuzi@irthcommunications.com
877-368-3566
For further company, sales, and product information, please visit:
ClamCase Pro for iPad Air 2 Sets 'Gold Standard' in Keyboard Cases
Award-winning design brings new levels of productivity to iPad Air 2, iPad Mini 3 owners
HOLLYWOOD, Calif., Oct. 16, 2014 /PRNewswire/ -- ClamCase® is excited to announce a redesigned iPad Air 2 keyboard case built to enhance the new Apple iPad Air 2 experience. The most advanced ClamCase Pro model to date will also be available in a Special Edition Gold model to complement the elegant iPad Air 2's exclusive gold finish. Matched with the iPad Air 2, the ClamCase Pro delivers a user experience that's powerful, productive and fashionable for business, education and everyday use.
The Special Edition ClamCase Pro in Gold literally sets the "gold standard" for iPad keyboard cases. It features a metallic housing that integrates perfectly with the lustrous finish of the all-new, highly desirable gold iPad Air 2. Marrying great style with solid functionality, the Special Edition ClamCase Pro in Gold brings beauty and productivity together for the new iPad Air 2 owner.
ClamCase also announced a version of the product to match the iPad Mini 3, for customers who want the same great productivity but prefer a smaller and lighter device.
Both the Special Edition Gold model and the traditional aluminum finish incorporate exclusive features that have made the ClamCase Pro a versatile and award-winning "must have" productivity enhancement for iPad owners. The added security of the touch sensor on the new iPad models makes them even more appealing for enterprise customers.
-- The patented 360 degrees hinge functionality opens even more
possibilities to an already versatile device.
-- The spacious and accurate keyboard is designed to make typing more
efficient and reduce errors, even while maintaining a compact,
low-profile, so your productivity never slows down on the go.
-- The durable and stylish polycarbonate and anodized aluminum enclosure,
in either finish, protect the iPad.
-- The upgraded battery further extends the ClamCase Pro's already
incredible time between charges.
ClamCase Pro keyboard cases have won multiple awards for their design, features and versatility, including the prestigious PCMag Editor's Choice Award.
The ClamCase Pro for iPad Air 2 will be available for purchase in December. Sign up to be notified about exact availability for both products, as well as for updates on all of the incredible ClamCase line at ClamCase.com.
For more information on the latest releases, or to view the entire lineup of ClamCase products including the new iPad Air 2 keyboard case and the Special Edition ClamCase Pro in Gold, please visit ClamCase.com or call 800-939-0335 to speak with a customer support representative.
About ClamCase
ClamCase, a consumer electronics design company based in Hollywood, California, manufactures and distributes the world's best iPad keyboard case and peripheral devices. ClamCase products are in high demand worldwide for their desirable features and ability to enhance FaceTime®, Facebook®, YouTube®, Netflix®, iMessage®, online gaming, endless apps, and web-browsing. In addition to the growing popularity with professionals, educational institutes, and other organizations, ClamCase products are used to protect the iPad investment, while greatly increasing its mobility, efficiency and productivity.
Functions as Sub-Device for Smartphone Version Making LINE Usable on More Devices Than Ever
TOKYO, Oct. 16, 2014 /PRNewswire/ -- LINE Corporation, owner and operator of the free call and messaging app LINE, today announced the global release of the iPad version of the LINE app.
According to research conducted by America-based information technology advisory firm Gartner, Inc.,(1) nearly 200 million tablets were shipped worldwide in 2013, and over 70 million of those devices were Apple iPads, accounting for 36% of that number. The PC version of LINE has been available since March 2012. By adding support for the recently expanding iPad user market, users can now use LINE on more devices than ever, allowing for a much broader scope of use for the app.
The iPad version released today features a device-optimized design that takes advantage of the larger screen and keyboard for enhanced communication. Now it is even easier to use LINE without switching devices when users are browsing the internet and watching videos on their iPad, or using the device at work or while travelling. Now that LINE can be conveniently run on multiple devices, users have the option of using LINE on the device that fits their needs, such as using the iPad version at home and the smartphone version when they are on the move.
LINE for iPad has been designed as a sub-device for the smartphone version of the app. Users can access their friends list and purchase stickers on their iPad simply by entering the email address and password they have registered on their smartphone. New accounts cannot be created using the iPad version of LINE. The app does not currently offer support for voice or video calls, making the messaging feature the only form of communication available for now.
By officially offering support for the globally expanding tablet market, LINE hopes to increase its presence worldwide as well as expand its user base. The company plans to continue its efforts to add and improve features in order to increase the user-friendly aspect of the service.
Overview of the iPad version of LINE
Supported devices: iPad (iOS 7 and above)
Supported languages: Brazilian Portuguese, Chinese (Simplified and Traditional), English, French, German, Indonesian, Italian, Japanese, Korean, Malaysian, Portuguese, Russian, Spanish, Thai, Turkish, Vietnamese
Supported regions: Global
Cost: Free
Download URL: https://itunes.apple.com/us/app/line-for-ipad/id913855602?l=en&ls=1&mt=8
About LINE Corporation
LINE Corporation is based in Japan and develops and operates the LINE app for smartphones. Since launching in June 2011, the LINE app has grown into a global service used in 230 countries, ranking first in the free app category in 60 countries. LINE offers free one-to-one and group messaging, as well as free domestic and international voice and video calls. LINE also includes a wide array of social elements such as fun and expressive stickers, a personal Home, a Timeline, and numerous LINE family apps, including LINE games and LINE camera. For more information about LINE, please visit http://linecorp.com/en/
Panasonic Announces U.S. Debut Of AX900 And AX850 Series 4K Ultra HDTVs[1]
AX850 Marks First 85-inch Model in Panasonic's Line of Critically-Acclaimed 4K UHD TVs
UNIVERSAL CITY, Calif. and NEWARK, N.J., Oct. 16, 2014 /PRNewswire/ -- Panasonic, a leader in 4K Ultra HD technology announced today that the much anticipated 65-inch class AX900 and 85-inch class AX850 4K Ultra HD LED LCD TVs will make their U.S. debuts next month at http://www.panasonic.com. Pricing and overall availability will be announced at a later date.
The TC-65AX900 and TC-85AX850 4K Ultra HD LED LCD TVs carry on Panasonic's rich heritage of design and engineering excellence with superior picture quality coupled with advanced features and functions to deliver the smartest and most intuitive home entertainment experience available.
The AX900 and AX850 are equipped with next generation picture quality technologies which as a package seek to achieve:
-- Accurate, rich, and robust blacks that also retain fine detail.
-- Color reproduction which is true to the director's intentions and
calibrated to be correct at every brightness level.
-- Picture quality performance which perfectly matches the environment in
which it is being viewed.
Accurate, Rich, Robust Blacks
Reproducing deep, rich blacks, while also retaining rich colors in dark scenes has been a long standing weakness of LCD TVs. In order to deliver best-in-class black performance, the AX900 use a Direct LED with full-array local dimming complemented with Panasonic-unique Local Dimming Ultra technologies. Other full array local dimming systems suffer from a 'halo' effect around moving bright objects because their local dimming fields lack sufficient brightness control or are either entirely on or off. The AX900 analyzes the incoming video signal not in traditional 3 x 3 matrices, but across 5 x 5 matrices of local dimming fields and adjusts the brightness level of each individual field by extremely fine degrees (i.e. not just on/off), providing smooth motion of bright objects, a high contrast ratio, deep, rich blacks and extremely fine gradation which retains detail even in the darkest scenes.
True Color Reproduction at Any Brightness Level
Color reproduction in dark scenes has been another weakness of LCD TVs. In order to allow viewers to enjoy films as the directors intended, the AX900 and AX850 engineers have also worked together closely with the renowned Panasonic Hollywood Laboratories in Los Angeles to ensure that the colors on the AX900 and AX850 are exactly the same as the director intended. To achieve this, Panasonic has adopted color management technologies which have until now only been used to the same degree by professional studio monitors which allow calibration of color reproduction at extremely fine brightness steps so it is reproduced accurately at any brightness level[2]. Panasonic is the first company to use this professional technology at the same level in a consumer television.
Furthermore the use of a highly transparent LCD panel[3] on the AX900 also produces outstanding brightness and allows the AX900 to achieve an extremely high dynamic range, with richer more nuanced colors in both dark and bright scenes.
Picture Quality Performance Which Perfectly Matches Your Environment
To deliver the very best picture performance, it is also necessary to analyze the lighting conditions in the room in which the TV is placed. Accordingly, in another industry first, the AX900 and AX850 explicitly link its video signal processing to ambient light levels in order to deliver picture quality which is a perfect match for your living room.
The AX900 and AX850 are THX® 4K Certified Displays
THX 4K certification is an assurance of image quality and consistency, confirming that the AX900 and AX850 not only meet industry 4K performance guidelines, but that they maintain content accuracy true to the original HD image.
THX 4K Certified Displays endure 400 laboratory tests to ensure they deliver movie experiences with the stunning clarity and detail found in the studio. As the only performance benchmark for TVs, THX certification is reserved for TVs with best-in-class picture quality.
Beyond Smart Features[4]
The AX900 and AX850 models are Panasonic's first TVs to employ our quad-core Pro5 super-high speed processor which further enhances Panasonic's 'Beyond Smart' feature set.
-- The my Stream function, which learns users' preferences and displays a
list of content recommendations, has also further evolved. A flowing
user interface display and video playback in thumbnail form make it even
easier to find desired content.
-- The Info Bar quickly and conveniently displays new and useful
information without even requiring the user to turn on the TV due to a
proximity sensor coupled with Face Recognition.
Connectivity
A host of network functions allow full enjoyment of this picture quality performance for a wide spectrum of 4K content.
-- Four 4K60p-supporting HDMI terminals and one DisplayPort((TM)) enable
simultaneous connection of a 4K-compatible home cinema, a set-top box, a
PC, and more.
-- Future use is assured by the AX900 and AX850 ability to play content
with HDCP2.2 copyright protection and its H.265 (HEVC) decoder can
decode 4K content from a variety of providers.
Design
The AX900 and AX850 were designed based on the concept of Premium Furniture Design. This cutting-edge design concept removes redundant elements to achieve a simple elegance, and provide an authentically luxurious touch.
For more information about Panasonic's line of 4K UHD TVs and 4K imaging products including the A500 4K Wearable Camcorder and LUMIX GH4, visit http://www.panasonic.com.
About Panasonic Consumer Electronics Company
Based in Newark, NJ, Panasonic Consumer Electronics Company is a division of Panasonic Corporation of North America, the principal North American subsidiary of Panasonic Corporation. The company offers a wide range of consumer solutions in the U.S. including products from Ultra HD 4K TVs, Blu-ray Disc Players, LUMIX Digital Cameras, Camcorders, Home Audio, Cordless Phones, Home Appliances, Wellness, Beauty, Personal Care products and more. In Interbrand's 2014 Annual "Best Global Green Brands" report, the Panasonic brand ranked fifth overall -- the highest ranked electronics brand in the report.
[4] Internet service required. Certain recommended content may not be available depending on geographic location, and service subscription(s). Fees may be required for subscriptions and/or to access content. Specifications, design, functions and product information are subject to change without notice.
Customers Will Be Able to Conveniently Check Out Using Touch ID
FORT WORTH, Texas, Oct. 16, 2014 /PRNewswire/ -- Starting next week, RadioShack will roll out its initial launch of Apple Pay at more than 900 RadioShack locations in five key markets: Manhattan, Washington, D.C., Chicago, Los Angeles and San Francisco. Additional markets will be announced in 2015. RadioShack customers will be able to conveniently make purchases and check out using Apple Pay, making shopping easier than ever. Shoppers can simply hold their iPhone near the contactless reader while keeping a finger on Touch ID. In participating stores, Apple Pay will work with iPhone 6, iPhone 6 Plus and Apple Watch, upon availability.
Apple Pay combines Apple's seamlessly integrated hardware, software and services to create a unique and intuitive experience. When you add a credit or debit card with Apple Pay, the actual card numbers are not stored on the device nor on Apple servers. Instead, a unique Device Account Number is assigned, encrypted and securely stored in the Secure Element on the user's device. Each transaction is authorized with a one-time unique dynamic security code, instead of using the security code from the back of the user's card. Apple Pay is easy to set up and users will continue to receive all of the rewards and benefits offered by their credit and debit cards.
"RadioShack is proud to be one of the select retailers debuting this exciting new payment option from Apple," said Joe Magnacca, chief executive officer at RadioShack. "As part of our commitment to put customers first, we want to provide additional payment options that take advantage of new solutions and make the process easier for the customer. We are pleased to continue to leverage technology to improve our store experience."
About RadioShack Corporation
RadioShack (NYSE: RSH) is a leading retailer focused on connecting customers with personalized solutions and discovering what's possible through the latest in consumer technology. The company's updated product assortment incorporates national brands, industry-leading private brand products, and in-demand mobile devices from a wide selection of wireless carriers. Customers can shop top brands in headphones and speakers, wearable technology, smart toys and DIY supplies, connected home, power accessories and home entertainment at http://www.radioshack.com or in store. RadioShack's global retail network includes more than 4,400 company-operated stores in the United States and Mexico and more than 1,200 dealer stores in 25 countries. RadioShack employs approximately 27,000 knowledgeable associates globally to help customers find their technology solution. For more information on RadioShack Corporation, please visit http://www.radioshackcorporation.com. Find RadioShack on Facebook, Twitter, Instagram, and YouTube. RadioShack® is a registered trademark licensed by RadioShack Corporation.
News Media Contact: Media Relations, +1-817-415-3300, Media.Relations@RadioShack.com
Major Retailers Begin Flooring Wolfgang Puck Pressure Oven
BED BATH AND BEYOND, KOHL'S, SUR LA TABLE, WILLIAM SONOMA LEAD LIST
FAIRFAX, Va., Oct. 16, 2014 /PRNewswire/ -- Wolfgang Puck's innovative pressure oven, is being floored at several of the nation's leading retailers: Bed Bath and Beyond, Kohl's, Sur La Table, Williams-Sonoma, Belk, Bon Ton, Dillard's, Sears, Fry's and AFFES, among others, in time for the busy holiday cooking season.
Following two and one-half years of research and development, The Wolfgang Puck Pressure Oven is a breakthrough countertop oven that combines the speed and flavor enhancing ability of a pressure cooker with the ease of use and versatility of an oven. The result is an oven that locks in flavor and moisture while cooking up to 70% faster. The energy-efficient Pressure Oven toasts, roasts, bakes, broils and re-heats. Meats stay crispy on the outside, moist and juicy on the inside, baked goods rise beautifully, vegetables roast tender, crisp and packed with flavor.
"Consumer response to our television and internet marketing has been very strong, so we wanted to broaden the availability to shoppers by partnering with some of the largest retail chains in the United States," said Direct Holdings Global President Christopher Hearing. "The Puck Oven can do anything your regular oven can do but better and faster, thanks to a sealed pressurized compartment that locks in heat, moisture, and flavor, cooking evenly faster."
KitchenTek is the collaborative partnership between Direct Holdings Global and Wolfgang Puck Appliances. The Wolfgang Puck Pressure Oven is available at http://www.PuckOven.com and retailers nationwide. The Pressure Oven retails for $249.95, with the rotisserie model available for $349.90.
Whoa Nellie! Stern and Whizbang Pinball Bring Melon Season Early This Year!
World's Leading Maker of Arcade-Quality Pinball Games Announces New Partnership to Bring Whoa Nellie! Big Juicy Melons(TM) Pinball Machine to The Market
MELROSE PARK, Ill., Oct. 16, 2014 /PRNewswire/ -- Stern Pinball, Inc., the world's oldest and largest producer of arcade-quality pinball games, announced today its new partnership with Whizbang Pinball LLC to build and distribute Whoa Nellie! Big Juicy Melons(TM) pinball in the spring of 2015.
Whoa Nellie! Big Juicy Melons(TM) pinball takes players on a journey through the Mellon Family farm renowned for abundant produce. Players shoot for and score points on the farm operated by the lovely Melony Mellon and her twin sisters while avoiding the wrath of their over-protective shotgun-wielding father. The colorful easy-to-learn game features a fun classically-styled pinball playfield tuned for a balance of randomness and skilled shooting challenges that rewards players of all skill levels.
"We wanted to create a game that everyone can enjoy, so we started with a more accessible layout from a classic era of pinball," said Dennis Nordman, co-founder of Whizbang Pinball and famed pinball industry design veteran. "This is the first new electro-mechanical style game in over 35 years."
Whoa Nellie! Big Juicy Melons(TM) pinball delivers the most tactile pinball experience since the advent of electronic pinball with real bells, mechanical score reels, a solid state control system, and a digital soundtrack. This unique blend of classic and modern technologies creates a new breed of pinball machine that presents pinball as an art form while maintaining the reliability and serviceability of modern commercial pinball machines. The playfield, backglass, and cabinet art and styling, central to the game's unique visual quality, feature detailed original characters and fresh hand-drawn artwork that blend elements of mid-century pin-up art and classic pinball themes.
"Stylistically, this is a mash-up of fruit crate labels, classic pinball and retro pin-up art," said Greg Freres, co-founder of Whizbang Pinball. "We're very excited to be working with the professional team at Stern Pinball on this project."
Whoa Nellie! Big Juicy Melons(TM) offers many unique features including:
-- Original throwback-style playfield designed by Dennis Nordman
-- Original hand-drawn graphics by Greg Freres featuring fresh fruit, a
cool truck and the robust Mellon family
-- A unique, durable, weathered fruit crate cabinet design
-- Illuminated mechanical score reels
-- Retro-style bumper caps and flipper bats
-- Dual-action bullseye melon targets
-- Two old-time pinball bells and a real cow bell
-- The return of "The Knocker"
-- Crushed beer cans included for the perfect playfield angle
"This game is an entertaining unique blend of vibrant art, classic pinball, and modern technology that's sure to stand out in any collection," said Gary Stern, Chairman and CEO of Stern Pinball. "If you want something different in your line-up, this is it!"
Pricing and Availability:
Pricing will be announced later this year.
Whoa Nellie! Big Juicy Melons(TM) pinball games will be produced by Stern Pinball in the spring of 2015. For more information and to reserve your game, please contact any authorized Stern distributor or dealer, or send an email to: info@sternpinball.com
Whoa Nellie! Big Juicy Melons(TM) merchandise and accessories will be available at the Stern Shop in the spring of 2015.
About Whizbang Pinball LLC
Founded in 2009 by Greg Freres and Dennis Nordman, Whizbang Pinball LLC is a design studio that presents boutique pinball as a new art form by integrating its extensive pinball industry experience with its artistic vision for a unique combination of art and technology.
About Stern Pinball, Inc.
Stern Pinball, Inc., headquartered just outside Chicago, Illinois, is the oldest and largest producer of arcade-quality pinball games in the world. Stern's highly talented creative and technical teams design, engineer and manufacture a full line of popular pinball games, merchandise and accessories. Recent Stern titles include The Walking Dead, Mustang, Star Trek, Metallica, The Avengers, X-Men, AC/DC, Tron, Transformers, Avatar, Iron Man, Batman, Spiderman and many more! All of Stern's pinball games are crafted by hand and assembled by Stern's expert team. Both pinball enthusiasts and casual players around the globe enjoy Stern's games. For more information, please visit http://www.sternpinball.com.
All trademarks and product names are the property of their respective companies.